KLA Investor Day Presentation Deck

Made public by

sourced by PitchSend

1 of 134

Creator

KLA logo
KLA

Category

Technology

Published

September 2019

Slides

Transcriptions

#1********* www + wwww ***** www + + + KLA Investor Day 2019 New York City + + + + + + + + + + + + + + + + + + KLA+ Keep Looking Ahead + + + + + + + + September 17, 2019#2Safe Harbor This presentation contains certain forward-looking statements within the meaning of Section 27A of the Securities Act of 1933 and Section 21E of the Securities Exchange Act of 1934. Except as otherwise specifically indicated herein, the information included in this presentation is as of the date it is delivered. These forward-looking statements, including statements regarding (i) calendar 2019 and/or calendar 2019-2023 estimates of: total revenues, EPS and diluted EPS, gross margin, operating margin, return on invested capital, adjusted EBITDA, R&D as a percentage of sales and operating expenses, semiconductor industry revenue growth, data center and automotive semiconductor growth CAGR, SAM, R&D investments, free cash flow, revenue CAGR from semiconductor process control, process control revenue and revenue mix, WFE industry CAGR, service revenue, revenue mix, revenue CAGR and operational statistics, Orbotech service revenue, addressable market for service, Orbotech revenue, revenue CAGR, revenue split and R&D as a percentage of revenue, PCB, FPD and SPTS revenue and revenue CAGR, Orbotech's total addressable market, advanced packaging SAM, SPTS EFEM annualized cost savings, auto electronics semiconductor revenue growth and CAGR, process control growth in auto electronics and associated CAGR, growth opportunity in auto electronics, ratio of gross debt to EBITDA, uses of cash and dividend increases, achievement of synergy targets, and (ii) the number of high tech jobs to be generated in the state of Michigan over the next five years, which are subject to risks and uncertainties. For the factors that may cause actual results to differ materially from those projected and anticipated in the forward-looking statements in this presentation, please refer to the Company's annual report on Form 10-K for the year ended June 30, 2019, subsequently filed quarterly reports on Form 10-Q and other filings with the Securities and Exchange Commission (including, without limitation, the risk factors described therein). The Company assumes no obligation to, and does not currently intend to, update these forward-looking statements. 2 KLA Non-Confidential | Unrestricted KLA+#3Today's Agenda 8:30 a.m. 9:35 a.m. WELCOME & OPENING REMARKS Ed Lockwood Senior Director, IR KLA OVERVIEW & STRATEGIC INITIATIVES Rick Wallace CEO & President SYSTEMS OVERVIEW Ahmad Khan President, KLA Semiconductor Process Control Break 3 KLA Non-Confidential | Unrestricted 9:45 a.m. 11:45 a.m. SERVICES OVERVIEW Brian Lorig EVP, Global Support & Services ORBOTECH OVERVIEW Oreste Donzella EVP & CMO OPPORTUNITIES IN AUTOMOTIVE ELECTRONICS Oreste Donzella EVP & CMO FINANCIAL FRAMEWORK & OUTLOOK Bren Higgins EVP & CFO CLOSING REMARKS Rick Wallace CEO & President Q&A 12:30 p.m. Lunch KLA+#4KLA Overview and Strategic Initiatives Rick Wallace | CEO and President + + + + + + + + + + + + + + KLA+ +#5Key Messages 1. Global leader in process control and supplier of process-enabling solutions for the data era 2. 3. 4. 5. Sustained technology leadership allows us to remain at forefront of industry trends with new capabilities and technologies, enabling our profitable growth strategy Competitive moat driven by deep, collaborative customer relationships, a broad IP portfolio, significant R&D investments, and differentiated solutions to solve our customers' most complex challenges Experienced and energized leadership team utilizing the KLA Operating Model to instill a high-performance culture driving efficiency and operating performance Track record of strong cash flow generation supported by diversification of revenue streams; balanced capital allocation delivering superior shareholder value 5 KLA Non-Confidential | Unrestricted @ KLA+#6Semiconductor Industry Revenue ($B) Well Positioned as a Global Leader in the "Data" Era $600 $500 $400 $300 $200 $100 is $- PC ERA MONE car 1982 1983 1984 1985 1986 1987 1988 1989 1990 NETWORK ERA 1991 1992 1993 1994 1995 1996 1997 6 KLA Non-Confidential | Unrestricted Sources: Industry data and Company estimates. 1998 INTERNET ERA 1999 2000 2001 2002 2003 2004 2005 |▬▬▬▬▬▬▬▬▬▬▬ 2006 2007 2008 MOBILE ERA 2009 2010 2011 2012 2013 2014 2015 2016 DATA ERA 011100 01 00010010 01 OL01010101 0001. 00100100 100111 20011100 1100111 01110 0010100 2017 2018 2019 2020 2021 2022 KLA+ 2023#7More Complexity & Semiconductor Devices Drive Greater Demand MEGA TRENDS ACROSS... ARTIFICIAL 10 10 10 1 0 INTELLIGENCE 0 10 10 ■ Core engine of KLA products' performance Clear opportunities as a user and supplier Expanding the scope of advanced logic nodes Significant inflections in packaging via multi-chip integration 5G 5G CONNECTIVITY RETELLES ▪ Embedded in technologies in the next 5 years Building infrastructure increases demand for KLA Mobile implementation in 2021E-2023E, driving new smartphone cycle ▪ Significant opportunities across our entire portfolio 7 KLA Non-Confidential | Unrestricted Sources: Gartner, Yole and Company estimates. MOBILE ▪ #1 consumer of semiconductor ($100B+/year) ▪ >30% growth of semiconductor content in future 5G phones ▪ Leading with new technology inflections Highest process control intensity industry ■ ...KEY INDUSTRIES BOOOM DATA CENTER High growth industry ▪ Strong driver of leading- edge semiconductor ▪ End customers designing their own chips Strategic collaborations to influence semiconductor supply chain AUTOMOTIVE ■ Secular transformation with connectivity/autonomous/ electrification ▪ Fastest growing semiconductor segment ▪ Highest semiconductor quality and reliability standards KLA is Differentiated with Solution Centric and Product Agnostic Approach KLA+#8KLA at a Glance (NASDAQ: KLAC) KEY STATISTICS¹ FOUNDED HEADQUARTERS EMPLOYEES COUNTRIES MARKET-CAP² REVENUE³ ADJ. EBITDA³ R&D (% of Sales) 1976 Milpitas, CA ~10,000 18 $23.8B $5.1B $1.9B 15% by Business 25% Systems Services 75% 2019E REVENUE SPLIT by Market 13% 8 KLA Non-Confidential | Unrestricted ¹ As of 6/30/19 unless otherwise noted; 2 As of 9/12/19; ³ Based on 2019E consensus estimates as of 9/12/19. 7% 80% ■Semiconductor Process Control Printed Circuit Board, Display, Components Semiconductor Specialty Process Diversified Global Leader in Electronics Value Chain 12% 13% Taiwan Korea Japan by Region SEA 6%4% 13% 26% 26% Mainland China U.S. EU KLA+#9Our Shared Purpose Across KLA Continues to Define Us MISSION S Improve customer yield, performance and time-to- market, enabling the data era 9 KLA Non-Confidential | Unrestricted STRATEGY xxx Provide leading technologies, differentiated solutions and superior customer experiences through collaboration, innovation and execution OBJECTIVES E Achieve world-class results in market leadership, product differentiation and operational excellence with our diverse and inclusive global organization KLA+#10Sustainable Environmental Initiatives Transportation: Avoiding wasted space and saving fuel by utilizing custom tailored and collapsible containers in product shipments Energy & Emissions¹: Saving 80,000 metric tons of CO₂ over the next five years from recent switch to third-party provider of energy with nearly 100% sourced from renewable energy ■ Corporate Social Responsibility and Sustainability is in Our DNA Reducing overall environmental impact by focusing on improving yield and efficiency for our customers ■ Waste: Improved diversion rate to over 80%, up from 50% a decade ago Supply Chain Environmental & Social Responsibility Management: Requiring suppliers to meet more stringent sustainability criteria as a member of Responsible Business Alliance (RBA) I BAB Community Programs & Initiatives KLA Foundation: Inspires individual philanthropy and supporting programs focusing on health/wellness, social services, and educational programs and institutions CSR Award: KLA Singapore received CARES Award from American Chamber of Commerce for our efforts in charity and volunteering Key Initiatives: 10 KLA Non-Confidential | Unrestricted 1 Note: statistics are for the Milpitas, CA facility. ■ ■ I ■ StandUp For Kids SEMI Hi Tech U at KLA Hsiang-Tien Elementary School Programs STEM Robotics Competition Disaster Relief Urbanek Scholarships ■ ■ Corporate Governance Board of Directors: 12 members Diversity: Seasoned board comprised of independent business leaders, 25% female Board Tenure: Well balanced tenure of independent directors, with six directors serving < 10 years, and six directors serving > 10 years Management Aligned with Shareholders: Compensation of management and board aligned with shareholder interests KLA+#11+3- Seasoned, Internally-Developed Leadership Team Driving Results RICK WALLACE CEO & President Joined: 1988 Abercr BEN TSAI EVP, CTO Corporate Alliances 1984 11 KLA Non-Confidential | Unrestricted BREN HIGGINS EVP, CFO 1999 ORESTE DONZELLA EVP, CMO 1999 TERI LITTLE EVP, Chief Legal Officer & Corp. Secretary 2002 AHMAD KHAN President, KLA Semiconductor Process Control 2004 JOHN VAN CAMP Chief Human Resources Officer 2006 BRIAN LORIG EVP, Global Support & Services 1998 BOBBY BELL EVP, Chief Strategy Officer 1994 KLA+#12Strategic Objectives | Continue to Win in Our Markets 12 KLA Non-Confidential | Unrestricted Market Leadership it Operational Excellence Product Differentiation Attract and Develop Talent MMMM KLA+#13Market Leadership Highly Valued and Trusted Partner Listen and Confirm Understanding Partner, Commit and Deliver Collaborate Partnering with customers onsite Follow Up and Ensure Value Innovate Centers of excellence worldwide 13 KLA Non-Confidential | Unrestricted Source: Gartner April 2019. Measure and Continuously Improve Execute Solving industry's most complex challenges 60% 50% 40% 30% 20% 10% 0% Process Control Market Share 2009 KLA 2012 4x Comp A NEAREST COMPETITOR ACROSS ALL REGIONS 2015 Comp B Comp C 2018 KLA+#14Product Differentiation Leadership in Design, Technology, Performance and Customer Success Embedded in R&D with customers 14 KLA Non-Confidential | Unrestricted Working two generations ahead ECODION 重 Continued leadership in innovation $481 2015 R&D INVESTMENTS ($M) $499 Investing to Sustain Technology Leadership $567 ~15% Avg. R&D as a % of Sales 2016 $625 2017 2018 ~$800 2019 Incl. Orbotech KLA+#15Operational Excellence Cash Returns to Stockholders P.... Investment in Growth and New Products Cycle of Success Strong Margins and FCF Market Leadership and Differentiated Solutions P.. 15 KLA Non-Confidential | Unrestricted ¹ FCF Conversion = FCF / Net Income. F ■ $819 I 2015 FREE CASH FLOW ($M) $1,141 2016 $823 FCF Conversion¹: 102% Avg. $1,303 2017 2018 COMMENTARY Introducing new products at 2x the pace of our competitors Achieving market share of 4x our nearest competitor Strong gross margins and FCF conversion via differentiation ~70% of FCF returned to shareholders through dividends and share repurchases ~$1,000 2019E KLA+#16High-Performance Culture to Attract and Develop Talent High-caliber graduates from top-tier universities across the world ▪ Career development opportunities through multi- faceted and broad-based programs ■ Extensive education benefits and advanced- degree tuition reimbursement programs Investing in Ann Arbor, Michigan Innovation Center to attract new talent in a cost-efficient labor market and collaborate with top universities ▪ 80% goal of Vice President promotions from within - tracking at ~85% 4.8% 16 KLA Non-Confidential | Unrestricted 1 Company estimate of ~10%. 2014 EMPLOYEE TURNOVER Well below average¹ turnover in Silicon Valley 5.5% 5.2% il 2015 2016 4.2% 2017 Global Holistic Approach with Emphasis on Diversity and Inclusion 4.9% 2018 KLA+#17Strategic Talent Sourcing HQ2 and R&D Facility in Ann Arbor, Michigan ▪ Talent Pipeline: Attractive talent pool with relatively lower cost of living, higher quality of life and proximity to Detroit Metropolitan Airport Long-term Partnership: Strong collaborative relationship with University of Michigan, including research and continuing education for employees ▪ Proximity to Growing Automotive Electronics: Supporting semiconductor manufacturing requirements for improved device reliability and defect control Economic Incentives: $39M in projected incentives from both state and local sources COURT 17 KLA Non-Confidential | Unrestricted ~$175M Total Capital Investment 600 New High-Tech Jobs Over Next 5 Years 2021 Completion of New Innovation Center KLA+#18Introducing the KLA Operating Model Indispensable for Customers Perseverance KLA+ OPERATING MODEL Honest, Forthright, Consistent Drive to Be Better g High Performance Teams 18 KLA Non-Confidential | Unrestricted CONSISTENT STRATEGY AND EXECUTION Application of common processes and discipline ▪ Cascades throughout the organization ▪ Strong focus on talent development ■ MANAGEMENT BY METRICS ▪ Culture of performance and accountability ▪ Expectation of continuous improvement Superior margins driven by market leadership and differentiation FINANCIAL DISCIPLINE AND RIGOR ▪ Exert efficiency and operating discipline in our investments ▪ Strong track record of high returns ▪ Focused on enhancing shareholder value Focused on Driving Sustainable Profitability and Growth KLA+#19KLA Operating Model Enables Sustainable Competitive Benefits Significant R&D investments drives technology leadership and creates differentiated products Home-grown, experienced management team 19 KLA Non-Confidential | Unrestricted Strong track record of effectively deploying capital and meeting deleveraging commitments Low capital requirements to efficiently operate the business Growing service business provides strong, recurring revenue Leadership positions with focus on penetration and share gains within new markets Integrating strategic acquisitions to diversify revenue streams and enhance profitable growth opportunities KLA Operating Model instills culture of excellence with deep customer focus KLA+#201997 Strategic Transformation through Disciplined Acquisitions for 20+ Yrs 1997: KLA-Tencor Merger of two leading semiconductor inspection and metrology companies H 1999 ▪ Acme Systems 1998 ▪ Amray Inc. ■ Nanopro ▪ Quantox ▪ VARS ■ Ultrapointe 2000 ▪ Fab Solutions - FINLE 20 KLA Non-Confidential | Unrestricted 2001 ▪ Phase Metrics Transformative Acquisitions Strong Strategic Rationale Other Acquisitions Further Expanding Our Scope 2006 ▪ ADE 2007 ▪ OnWafer Technologies SensArray Corporation ▪ Therma-Wave Corporation 2019: Orbotech Extends technology and market reach into electronics value chain ▪ Complementary geographic and customer mix Exposure to fast growing markets 2004 ■ Candela Instruments ▪ Wafer Inspection Systems 2014 ▪ Luminescent Technologies 2010 ▪ Ambios Technology 2018 ▪ Nanomechanics ▪ MicroVision 2017 ▪ Zeta Instruments 2008 ▪ ICOS Vision Systems ▪ MIE BU, Vistec Semicondutor Systems 2019 2019 ▪ MicroSense ▪ Filmetrics ■ ■ Capres Qoniac KLA+#21The Evolution of Our Transformational Story PRE-1997 KLA Instruments $0.8B REVENUE (1996) $1.5B SAM (1996) NEW END MARKETS IC Fabs Mask Suppliers NEW OFFERINGS Wafer, Mask Inspection Overlay Metrology 21 KLA Non-Confidential | Unrestricted 1 Excludes Services SAM. 1997-2018 KLA-Tencor $4.3B REVENUE (2018) $6.6B¹ SAM (2018) NEW END MARKETS Wafer Suppliers Semiconductor OEMs NEW OFFERINGS Film and CD Metrology Computational Litho Wafer Geometry Component Inspection 2019-2023 KLA+ >$7.0B REVENUE (2023E) >$10B SAM (2023E) NEW END MARKETS Advanced Packaging Printed Circuit Board (PCB) Flat Panel Display (FPD) NEW OFFERINGS Semiconductor Process Equipment PCB Inspection and Repair PCB Process Tools FPD Inspection and Test KLA+#22Expanding Presence and Leadership in Served Addressable Markets KLA+ Orbotech Electrical Test Repair ~$8.5B SAM Advanced Semiconductors¹ Specialty Semiconductor² IC Components, Printed Circuit Board Inspection 1 $3,400M i PROCESS CONTROL 1 i$400M i $200M I I $100M Flat Panel Display i $250M 1 Metrology 1 $2,200M i $200M 1 Data Analysis $200M I $2.0B Increase in SAM from Orbotech | Process 1 $700M³ i $600M4 i $200M i i $50M #1 KLA (ex-Orbotech) Markets of $6.6B #1 Orbotech Markets of $1.9B 22 KLA Non-Confidential | Unrestricted SAM Source: Gartner and Internal KLA Research excluding service; ¹ Includes WLP, Wafer and Masks; 2 Power, MEMS, RF; 3 Etch, Deposition; 4 Digital Imaging, laser drilling. $100M KLA+#232023E Financial Targets $7.0B - $7.5B REVENUE 23 KLA Non-Confidential | Unrestricted $14.50 - $15.50 EARNINGS PER SHARE KLA+#24Key Takeaways 1 2 Global leader in process control and supplier of process-enabling solutions for the data era Sustained technology leadership allows us to remain at forefront of industry trends with new capabilities and technologies, enabling our profitable growth strategy 3 Competitive moat driven by deep, collaborative customer relationships, a broad IP portfolio, significant R&D investments, and differentiated solutions to solve our customers' most complex challenges 4 Experienced and energized leadership team utilizing the KLA Operating Model to instill a high-performance culture driving efficiency and operating performance 5 Track record of strong cash flow generation supported by diversification of revenue streams; balanced capital allocation delivering superior shareholder value 24 KLA Non-Confidential | Unrestricted KLA+#25Systems Overview Ahmad Khan | President, KLA Semiconductor Process Control + + + + + + + + + + + + + + KLA+ +#26Key Messages 1. 2. 4. Consistently creates and deploys highly differentiated inspection and metrology products to support customers' growth 3. 5. Cultivate and maintain intimate relationships with key customers to sustain leading market share Foresee and understand the most complex industry technical challenges; continuously optimize our innovative product portfolio Collaborate with services business to enhance our complete product delivery and service model Delivering on the KLA Operating Model for sustainable profitability and growth; strategic growth opportunities both internally and externally 26 KLA Non-Confidential | Unrestricted @ KLA+#27KLA Semiconductor Process Control Systems at a Glance KEY STATISTICS REVENUE CAGR ('19E - '23E) Main Growth Driver GROSS MARGIN MARKET SHARE BREADTH OF PORTFOLIO % OF MAJOR SEMI CUSTOMERS SERVED 6% - 7% Semiconductor mfg. 27 KLA Non-Confidential | Unrestricted ~63% Including service 4x Nearest competitor 10 out of 12 Gartner segments by end of 2019¹ 100% ¹ Source: Gartner April 2019. ■ ■ ■ 60% 2019E REVENUE MIX 15% ~$3.1B 25% Reticle ■ Metrology Inspection Strong Through Cycle Performance and Profitable Growth COMPREHENSIVE SOLUTIONS Analyze defectivity and metrology issues at critical points in the wafer, reticle, IC manufacturing and packaging processes Locate source of defects and metrology variation to resolve underlying process issues and enable our customers to improve control over their manufacturing Key supplier of yield management solutions for next gen products KLA+#28KLA Systems Markets Overview #1 IN 8 OUT OF 10 SERVED MARKETS¹ Mask Metrology Mask Inspection and Review Overlay Metrology Thin Film Metrology 28 KLA Non-Confidential | Unrestricted Optical Pattern Wafer Inspection Unpatterned Wafer Inspection Macro Defect Inspection Control Software PRODUCT OVERVIEW 1 Sources: Gartner; other served markets include wafer level packaging inspection and SEM Review. Inspection Metrology Control Software Strategic Portfolio Addressing Customers' Critical Needs XXXnm T XXmm- XXX ** XXXnm XXXnm SIO2 Mari SIO2 XXXnm Si Substrate SION SIO2 ILD Gate Oxide Xnm XXXnm SIO2 Defect Photoresist KLA+ Xnm THE O#29KLA Operating Model Enables Sustainable Competitive Benefits Intimate relationships with key customers Largest installed Process Control portfolio Differentiation through technical innovation 29 KLA Non-Confidential | Unrestricted Continued large R&D investments Early access to tomorrow's hardest problems Global organization with strong talent base Revenue Growth > WFE while Maintaining Strong Gross Margins KLA+#30Semiconductor Process Control | 2010-2019E Revenue Bridge $1.8B 2010 $920M ▪ In-line with WFE Core Growth $230M Increasing device complexity Higher adoption of Process Control in memory ▪ Gen5 ▪ Bare Wafer Intensity ¹ 1 $140M 30 KLA Non-Confidential | Unrestricted ¹ Intensity = PC revenue / total equipment revenue. Share Gain ~$3.1B 2019E Outperformed WFE in a Memory Intensive Cycle 2010-2019E 5% WFE Industry CAGR 6% KLA Semi PC CAGR KLA+#31■ More Complexity & Semiconductor Devices Drive Greater Demand MEGA TRENDS ACROSS... ARTIFICIAL 10 1 0 10 1 0 INTELLIGENCE EUV 0 10 10 5G CONNECTIVITY Reticle EUV pattern quality Reticle cleanliness in mask shop and wafer fab 31 KLA Non-Confidential | Unrestricted 5G 10100 I KLA IS WELL POSITIONED AS WE ARE CREATING UNIQUE TOOLS FOR INFLECTIONS NANOSHEET ADVANCED MEMORY KLAB MOBILE ▪ Highly accurate 3D structure control Material composition control Buried defects ...KEY INDUSTRIES ■ AKARGARRAY MARKET READINESS FOR TECHNICAL CHALLENGES High aspect ratio structures ▪ Buried defects DATA CENTER KLAB ■ New failure mechanisms AUTOMOTIVE ■ AUTOMOTIVE ELECTRONICS ▪ Increasing silicon content Complex systems Logic, memory, advanced packaging KLA is Differentiated with Solutions to Support Semiconductor Growth & Technology Inflections KLA+#32Well Positioned to Expand Systems SAM ~$6B 2019E SAM ~$3.1B 2019E Revenue ~$7.5B 2023E SAM + Inflections 32 KLA Non-Confidential | Unrestricted ~$4B 2023E Revenue + Intensity + Share Gain Inflections and Increasing Complexity Drive Process Control Market Growth MARKET DRIVERS Inflections ▪ EUV Nanosheet ▪ Advanced Memory Intensity / Share ▪ Gen5 EUV extension ▪ EUV Reticle ▪ X-Ray ▪ EBEAM KLA+#33Leveraging and Applying the KLA Operating Model to Our Strategic Path Forward. Market Leadership 14 33 KLA Non-Confidential | Unrestricted Product Differentiation 00 Indispensable for Customers Perseverance KLA+ OPERATING MODEL Honest, Forthright, Consistent Operational Excellence Drive to Be Better High Performance Teams KLA+#34Complete EUV Qualification Solution TODAY FUTURE (N+1)* EUV SOLUTIONS DS MASK Optical Inspection for EUV EBEAM based Multi-Column m MASK SHOP ■ Extension of existing proven optical technology Complementary multi-column reticle inspection 34 KLA Non-Confidential | Unrestricted * Variability based on node transition timing. WAFER Implementing Gen5 EUV Extension WAFER FAB Wavelength: A : 190-260nm Algorithms: High Signal / Noise ▪ Leveraging Gen5 platform Unique wavelength range ▪ Custom algorithms Driving Intensity Growth through High End EUV Solutions KLA+#35Case Study | Solving 3D Challenges with Leading Memory Customer New device architecture; immeasurable in production BACKGROUND Customer yielding issue related to inability to monitor effectiveness in high-aspect ratio etching in advanced 3D NAND and DRAM Close collaboration; alpha tool built and shipped to customer 35 KLA Non-Confidential | Unrestricted KLA SOLUTION Win/Win: tool concept validated, and customer gets inline monitor Developed XRAY (SAXS) technology Partnered with customer and provided alpha learning tool to obtain unique data U OUTCOME Intensity Gain Enabled yield enhancement for customer Improving Yields for Customers and Increasing Memory Intensity Improved inline control Shortened time to market for next gen devices KLA+#36KLA+ Keep Looking Ahead#37Case Study | KLA EBEAM + Optical Opportunity CHALLENGE Traditional EBEAM tools cannot run at high speed while maintaining high resolution Missing critical defects in small inspected area ■ KLA UNIQUE SOLUTION KLA EBEAM unique connectivity with KLA Optical tools enables fast detection for ultra small defects KLA Al-Assisted EBEAM KLAB Unique Connectivity ▪ Highest resolution at highest throughput ■ Full Al based detection and classification ■ All defects captured 37 KLA Non-Confidential | Unrestricted 1 High Volume Manufacturing (HVM). I I KLA Optical Inspectors Full wafer coverage at HVM¹ speed Fastest time to wafer signature in EUV era OPPORTUNITY Reduce time cycle of learning for customer Accelerates yield ramp Early customer engagements have been promising; full product release expected in 2020 KLA Provides a Seamless Single Inspection Solution for All Defect Types KLA+#38Semi Process Control | 2019E - 2023E Revenue Bridge ~$3.1B 2019E $400M - $500M 38 KLA Non-Confidential | Unrestricted ▪ EUV ■ Nanosheet ▪ New memory architecture Core Growth $400M - $500M Intensity (New Products) ▪ Gen5 EUV extension ■ EUV reticle ■ X-Ray ▪ Automotive Electronics Share ▪ EBEAM Intensity / Share Gain ~$4.0B 2023E Revenue Growth > WFE through Intensity and Share Grains 2019E - 2023E 4% - 5% WFE Industry CAGR 6% -7% KLA Semi PC CAGR KLA+#39Key Takeaways 1 2 4 Consistently creates and deploys highly differentiated products to support customers' growth 3 Foresee and understand the most complex industry technical challenges; continuously optimize our innovative product portfolio 5 Cultivate and maintain intimate relationships with key customers to sustain leading market share Collaborate with services business to enhance our complete product delivery and service model Delivering on the KLA Operating Model for sustainable profitability and growth; strategic growth opportunities both internally and externally 39 KLA Non-Confidential | Unrestricted KLA+#40BREAK + + + + + + + + + + + + + + KLA+ +#41Services Overview Brian Lorig | EVP, Global Support and Services + + + + + + + + + + + + + + KLA+ +#42Key Messages Strong customer value proposition: we help customers maximize the value of their KLA assets 1. 2. 3. 4. Increasingly important business and growing faster than the installed base Unique portfolio of resources enables a broad range of service offerings and professional services Industry-leading service model with >70% of revenue coming from subscription-like service contracts 5. Leverage KLA Operating Model to drive operational excellence 42 KLA Non-Confidential | Unrestricted @ KLA+#43KLA Services at a Glance 2019E KEY STATISTICS REVENUE¹ CAGR (2019E-2023E) ATTACH RATE RENEWAL RATE INSTALLED BASE SERVICE CALLS CUSTOMERS² SPARE PARTS/ASSEMBLIES CSES ³ 3 ~$1.3B 9% -11% >70% >90% >44,000 >150,000 >1,400 >120,000 >2,000 43 KLA Non-Confidential | Unrestricted ¹ Includes Orbotech; 2 Fab End User; ³ Customer Service Engineers. ■ 2019E REVENUE MIX 25% 75% Training Software Applications COMPREHENSIVE OFFERINGS Optimization Predictive Maintenance Services ■ ■ ■ Systems ■Services ■ Ramp Management Enhancements and Upgrades Lifecycle Management Certified Refurbished Systems KLA+#44Orbotech and SPTS Service Optimization Plan ■ LEVEL I KLA Operating Model Sharing 5-year growth/operating plans ▪ Standardized KPIs ▪ Benchmarking sessions ▪ Quarterly reviews 0-6 Months 44 KLA Non-Confidential | Unrestricted LEVEL II Operations Optimization ■ Depots and logistics ▪ Training and documentation ▪ Call center ▪ Service processes and methods ■ Parts planning ▪ Resource management ▪ Escalation management 6-12 Months LEVEL III Go-to-Market ■ Optimize sales processes and tools ▪ Shared professional services ▪ Standardized new product offerings 12-24 Months KLA+#45Case Study | KLA Operating Model: Services BACKGROUND A key factor in M&A is the existence of a service business Significant opportunity to leverage our KLA Operating Model to improve acquired businesses 45 KLA Non-Confidential | Unrestricted KLA SOLUTION Utilizing KLA Operating Model to create, expand and optimize legacy services businesses ■ Brought services in-house versus through distributors, increasing customer satisfaction with consistent and higher quality service support Expanded service offerings and resources driving greater services revenue Improved scale of operations with larger footprint, better infrastructure and enhanced data analytics OUTCOME/IMPACT Direct partnership with customers to drive maximum value of KLA asset Increased Service revenue CAGR from low single digits to >15% Drove revenue from subscription-like service contracts from <10% to >70% Increased customer satisfaction and KLA profitability KLA Operating Model Improves Acquired Service Businesses Panc KLA# OPERATING MODEL onest, Forthgle Consistent High Per KLA+#46More Complexity & Semiconductor Devices Drive Greater Demand MEGA TRENDS ACROSS... ARTIFICIAL 10 10 10 10 INTELLIGENCE 0 10 10 5G CONNECTIVITY T Improving yield among leading edge manufacturers TEGELES Aiding leading-edge development in ramping production 5G 00 MOBILE 46 KLA Non-Confidential | Unrestricted Sources: Gartner, Yole and KLA research. ...KEY INDUSTRIES KLA SERVICES WELL POSITIONED BY Maintaining tool uptime and performance Increasing utilization of mature fabs DATA CENTER AUTOMOTIVE Extending lifetime of older tools and improving performance of existing tools Offering fab optimization services KLA Service Provides Differentiated Solutions and Fleetwide Support KLA+#47Expanding Our Total Addressable Market $1.6B 2019E TOTAL MARKET $1.1B 2019E KLA SERVED¹ Market Dynamics 47 KLA Non-Confidential | Unrestricted 1 Excludes Orbotech Service revenue. Size Growth Rate End Market Drivers Leading Edge Development Manufacturing H ~$650M 9% -11% ▪ 5G ▪ Artificial Intelligence ▪ Mobile ▪ Data Centers ▪ Automotive Growth from Leading Edge Plus Increasing Penetration of Trailing Edge Trailing Edge Manufacturing ~$450M 5G Internet of Things Automotive KLA+#48KLA Operating Model Enables Sustainable Competitive Benefits Unmatched Availability and Breadth of Spare Parts Comprehensive Training and Certification Program for All Products Global Network of Certified and Experienced Customer Service Engineers (CSEs) 48 KLA Non-Confidential | Unrestricted Leverage Diverse Installed Base Effectively and Efficiently Professional Services with Access to More Data and Better Analytics Technology and Product Complexity Never Diminishes Well Positioned as Global Service Aggregator for Diversified Product Lines & Broad Portfolio KLA+#49Leveraging and Applying the KLA Operating Model to Our Strategic Path Forward. Market Leadership 14 49 KLA Non-Confidential | Unrestricted Product Differentiation 00 Indispensable for Customers Perseverance KLA+ OPERATING MODEL Honest, Forthright, Consistent Operational Excellence Drive to Be Better High Performance Teams KLA+#50Strong Customer Focus Ramp Faster Leading-edge Development Higher Yield Leading-edge Manufacturing Lifecycle Mgmt. Trailing-edge Manufacturing CUSTOMER GOAL 50 KLA Non-Confidential | Unrestricted Develop, ramp and transfer new technology to high volume manufacturing Maximize good wafers out at lowest possible cost Return on assets KLA STRATEGY XX New product introduction and service solutions to maximize uptime of KLA tools Optimized production monitoring while reducing customer cost of ownership Lifecycle management through fab optimization, enhancements and services RESULTS Customers accelerate transitions to High Volume Manufacturing Customers achieve higher yield, at lower costs, through predictable system availability Helping Customers Maximize the Value of their KLA Assets Customers extend the useful life of their KLA assets KLA+#51Three Components to Our Differentiated Services Global Support and Services People fvi 2% Knowledge Parts * READINESS TO SERVE 51 KLA Non-Confidential | Unrestricted PEOPLE TO PERFORM TASK 1,200+ highly educated, trained and experienced Customer Service Engineers (CSES) Tech support engineer per every 6 CSEs, primary and secondary CSE for each tool Tracker for proficiency and tool hours to allocate parts and CSE resources based on demand iyi ■ ** ■ PARTS TO SOLVE ISSUE ■ ■ ■ KNOWLEDGE TO COMPLETE TASK ■ ■ Quick access to global network of 90,000+ spare parts Stocking plan enables service of machines within 24 hours Mature logistics infrastructure allows for efficient execution repeatedly ■ 1,000+ tools with data for predictive maintenance and machine learning Award-winning training programs held at our 4 regional facilities Comprehensive 9-18 months training process per tool to develop expertise Access to a network of highly skilled Tech Support Engineers (TSES) Strong Foundation to Exceed Customer Needs KLA+#52Longevity of Installed Base Enhances Productivity Phase I: Services business designed to support tool shipments and assure customers tools will be available KLA SERVICES EVOLUTION IV || ||| Phase II: Build out infrastructure as a larger, global company Phase III: Grow Service business top line and profitability Phase IV: Integral part of KLA business model providing differentiated value to our customers 52 KLA Non-Confidential | Unrestricted Installed Base 25,000 20,000 15,000 10,000 5,000 Product Class Waves (Shipments by Year) 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 1990 1995 ■2000 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2005 Product Class Over the Life of Tool, Services Revenue ~0.5x Sales Price ■2010 2015 Average Tool Age Increasing from ~4 years in 2000 to 14 years in 2019 as our Installed Base Increased by ~2.7x KLA+#53Productivity and Resiliency of Our Services Business. $1,200 $1,000 $800 $600 $400 $200 $0 2002 2003 2004 2005 KLA SERVICES REVENUE¹ ($M) 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E² COMMENTARY ▪ 9% CAGR³ since 2002 ▪ Resilient revenue growth with only YOY decline of ~15% in 2009 >70% revenue from subscription-like service contracts Growing installed base of 22,000 tools More Consistent, Less Volatile Long-term Revenue Growth 53 KLA Non-Confidential | Unrestricted Note: Calendar year figures; ¹ Excludes Orbotech Service revenue; 2 Includes first year warranty in accordance with adoption of ASC606; ³ Represents KLA Semi Process Control only. KLA+#54Revenue Bridge and Diversification¹ ~$1.1B 2019E 54 KLA Non-Confidential | Unrestricted ■ ~$250M Highly differentiated products and services ■ Accelerate customers time-to-market Installed Base Growth 1 Excludes Orbotech Service revenue. ■ ■ ~$50M Comprehensive asset relocation services Applications support Enhanced tool performance Professional Services ~$150M ■ Extend lifetime: ■ Enhancements ▪ Upgrades ▪ Customized service offerings ▪ Fab optimization Mature Market Growth ~$1.5B 2023E KLA+#55Key Takeaways 1 2 3 4 5 Strong customer value proposition: we help customers maximize the value of their KLA assets Increasingly important business and growing faster than the installed base Unique portfolio of resources enables a broad range of service offerings and professional services Industry-leading service model with >70% of revenue coming from subscription-like service contracts Leverage KLA Operating Model to drive operational excellence 55 KLA Non-Confidential | Unrestricted KLA+#56Orbotech Overview Oreste Donzella | EVP, Chief Marketing Officer + + + + + + + + + + + + + + KLA+ +#57Key Messages 1. Leading supplier of process and process control equipment for the global electronics manufacturing industry 2. 3. 4. 5. Exposure to fastest growing industries benefitting from strong secular trends Focus on customer success and innovation within new or adjacent markets, expanding our total addressable market Leveraging broad product portfolio and capturing co-development opportunities to create differentiated technology Opportunity to optimize shared sales channels and supply chain cost synergies to drive profitable growth 57 KLA Non-Confidential | Unrestricted @ KLA+#58Orbotech at a Glance KEY STATISTICS¹ FOUNDED HEADQUARTERS EMPLOYEES COUNTRIES REVENUE² R&D SPEND (as % of revenue) 58 KLA Non-Confidential | Unrestricted 1981 Yavne, Israel ~2,900 18 ~$1.0B 14% By Market 23% 2019E REVENUE SPLIT³ 37% 2% 38% Printed Circuit Board Specialty Semiconductor Process (SPTS) Flat Panel Display (FPD) 5 Other By Geography4 6% 8% 6% 5% 12% 17% Mainland China Taiwan Japan SEA 46% Global Leader in PCB, FPD and Specialty Semiconductor ¹ As of 6/30/19 unless otherwise noted; 2 2019E as of 2/20/19; ³ Includes Service; 4 Based on 1H'19 data; 5 PCB, FPD and Other belongs to PCB, Display and Component Inspection in accordance to SEC filing. U.S. Europe ☐ Korea KLA+#59Sustainable Competitive Benefits Aligned with KLA Market leadership within PCB and specialty semiconductor process Longstanding, deep customer relationships 59 KLA Non-Confidential | Unrestricted Exposure to fastest growing industries with niche applications Culture of operational excellence Track record of innovation and new product development High technological differentiated products KLA+#60400 300 200 100 ■ H I Printed Circuit Board (PCB) Overview 2015 ■ REVENUE ($M) 2016 9% $|| CAGR 2017 ~$360M+¹ 2018 Strong service business with double-digit growth >40% revenue; >90% subscription based Highly fragmented markets with over 500 customers Strong connection with end-users (e.g., smartphone suppliers) 60 KLA Non-Confidential | Unrestricted 1 Includes Service. 2019E First-to-Market CAM BROAD PRODUCT OFFERING #1 Position in 6 of 7 Markets Served Laser Plotting Established AOI Israel Direct Imaging JV / M&A Inkjet 3D Printing Jenoptics JV Direct Imaging Germany KEY ACQUISITION AND JV MILESTONES Proven Ability to Grow New Market via Partnership and Acquisition 1981 1996 2018 Frontline Acquisition AOS 1999 Frontline, Valor JV CAM Israel Via Drilling 2007 AOI New Systems Acquisition InkJet Italy KLA+#61400 300 200 100 I 0 ■ Flat Panel Display (FPD) Overview 2015 2016 REVENUE ($M) ~$250M+¹ Hi 5% CAGR ■ Consolidated market with 15 customers 2017 2018 Full-loop process control solution (inspection, test, repair) Capacity-driven business 61 KLA Non-Confidential | Unrestricted 1 Includes Service. 2019E Occasional, high-volume technology inflections (e.g., OLED, foldable displays, etc.) First-to-Market 1991 BROAD PRODUCT OFFERING #1 Position in Inspection and Test Test JV / M&A Established AOI Israel AOI KEY ACQUISITION AND JV MILESTONES Yield Management Software 1999 Repair KLA Acrotec Acquisition AOI Japan 2008 PDI Acquisition Test & Repair U.S. KLA+#62400 300 200 100 ■ I Specialty Semiconductor Process (SPTS) Overview 0 2015 REVENUE ($M) 10% Hill CAGR 2017 2016 ~$350M+¹ 2018 62 KLA Non-Confidential | Unrestricted 1 Includes Service. 2019E "More than Moore" applications within the broad WFE Differentiated IP portfolio for plasma-based process solutions Highly customized solutions to meet loyal customers' requirements First-to-Market 1979 Introduced 1st cassette- to-cassette plasma tool BROAD PRODUCT OFFERING #1 Position in Specialty Semi Markets JV / M&A Versalis MVD S 1982 Introduced 1st cassette- to-cassette PVD tool Etch PVD KEY ACQUISITION AND JV MILESTONES 1993 Co- developed Bosh process for Mems Release Etch 1998 First-to- market with ultra low CVD dielectric CVD 2007 Entered 3D TSV applications 2015 Introduced 1st PVD tool for advanced packaging KLA+#63Areas of Expertise within Specialty Semiconductor Markets MEMS Micro Electro- mechanical Systems in automobiles, smart-phones, game consoles, tablets 63 KLA Non-Confidential | Unrestricted LEDs LED-backlit TVs, general indication, and automotive / industrial / domestic lighting Power Devices STANDBY Control / reduce / generate power used in electronic and power systems RF Transmitters to increase the speed / performance of communication between devices SPTS Anorbotech. Company Advanced Packaging Packaging innovations to extend semiconductor roadmap beyond conventional scaling KLA+#64From Your Smart Mobile Device... 30+ Electronic Components Utilized in Production of Latest Generation Smartphones FPD Flat Panel Display Automated Optical Inspection Test Repair 64 KLA Non-Confidential | Unrestricted GARAAnnala 000 HARTA PCB Printed Circuit Board Automated Optical Inspection UV Drilling SPTS Semiconductor Physical Vapor Deposition (PVD) Vapor Release Etch Automated Optical Shaping Frontline CAM Chemical Vapor Deposition (CVD) Molecular Vapor Deposition (MVD) Direct Imaging Plasma Etch KLA+#65...To Your Smart Vehicle Mission-Critical Solutions for the New Automotive Revolution PCB Printed Circuit Board Automated Optical Inspection UV Drilling SPTS Semiconductor Automated Optical Shaping Frontline CAM Physical Vapor Deposition (PVD) Direct Imaging Chemical Vapor Deposition (CVD) 65 KLA Non-Confidential | Unrestricted Plasma Etch Vapor Release Etch Molecular Vapor Deposition (MVD) POWER MEMS Micro Electronic Mechanical Systems Automated Optical Inspectiont LED RF Radio Frequency FPD Flat Panel Display Test Repair KLA+#66High Exposure to Mega Trends and Fast-Growing Industries ■ MEGA TRENDS ACROSS... ARTIFICIAL 10 10 10 1 0 INTELLIGENCE 0 10 10 ■ Al based defect classification in PCB and FPD inspection tools Significant inflections in packaging via multi-chip integration 66 KLA Non-Confidential | Unrestricted H 5G 5G CONNECTIVITY High RF content in base stations and handsets Packaging innovations driving customized process solutions 1 SAP = Semi Additive Process. MOBILE ▪ Accelerated transition to 2nd wave of mSAP¹ in PCB ▪ Foldable phones (and wearable) driving transition to flex PCB and displays High content of MEMS, sensors, RF devices ...KEY INDUSTRIES DATA CENTER ▪ Transition from conventional PCB to advanced IC Substrate Strategic collaborations to influence semiconductor and PCB supply chain AUTOMOTIVE ■ Transition from conventional to High Density PCB ▪ More displays in cars (dash, head-on and infotainment) ▪ Transition to new material (SIC, GaN) in EV KLA+#67Expanding Orbotech's Total Addressable Market ~$2.8B 2023E TOTAL MARKET ~$2.0B 2019E TOTAL MARKET 67 KLA Non-Confidential | Unrestricted 2019E 2023E CAGR TOTAL ADDRESSABLE MARKET PCB ~$750M ~$1,000M SPTS ~$700M ~$1,150M ~13% ~7% FPD ~$550M ~$650M ~4% ▪ Increase exposure to fast growing markets (RF, Auto, AP) ▪ Leverage KLA channel and technology ▪ Enter new Advanced Packaging and IC Substrate segments KLA+#68Leveraging and Applying the KLA Operating Model to Our Strategic Path Forward. Market Leadership it Product Differentiation 68 KLA Non-Confidential | Unrestricted Indispensable for Customers Perseverance KLA+ OPERATING MODEL Honest, Forthright, Consistent Operational Excellence Extending Technology and Market Reach; Capitalizing on Revenue and Cost Synergies High Performance Teams Drive to Be Better KLA+#69Case Study | Direct Imaging (DI) Business Creation and Expansion BACKGROUND Mid 1990s: PCB moved to higher density 1996: Formed JV with Jenoptics to co-develop DI technology 2000: Assumed 100% ownership 2004: Launched 1st product (high-end PCB) 2011: DI becomes industry standard for smartphone PCB SOLUTION 69 KLA Non-Confidential | Unrestricted ■ I Collaboration with top optics supplier to develop imaging technology Collaboration with smartphone maker to promote/validate DI value Innovation in multi-wave light source, large scan optics, fast image acquisition and algorithms Resilient Service business with >90% recurring revenue ~$200M business that we can grow with differentiated solutions LSO Technology™ REVENUE TREND Strong Track Record of Business Creation and Expansion High-end special PCBs 2000 2001 2002 2003 2004 2005 2006 2000 Collaboration and Innovation Drove the Direct Imaging Success Mass Production, HDI- Smartphone, Flex 2007 2007 2008 2000 600Z 2010 2011 2012 2013 Voc 2014 proc 2015 2016 2017 2018 2019E KLA+#70Case Study | Advanced Packaging Business Development BACKGROUND Mid 2010s: Lateral Scaling slowed down or become too expensive Advanced packaging enabled performance at lower cost New technologies were developed in mobile and computing chip packaging Inflection opened new market opportunity for SPTS Market leader in key etch and film applications SOLUTION 70 KLA Non-Confidential | Unrestricted ■ ■ Targeted key underserved applications Customize existing technology to serve advanced packaging market Collaborate with industry leaders to validate differentiated performance Technology choice for Fan Out wafer level package at #1 foundry Memory PCB substrate. Memory Die SYSTEMP SPTS PVD CONSULTI 2014 SPTS Etch Customer Collaboration, Technology Flexibility and Execution REVENUE TREND Strong Track Record of Business Development Technology driven business: ~3x Trough inFO via (TIV) RDL 2019E KLA+#712019E SAM 2019E KLA¹ Targeting New SAM | Advanced Packaging KLA: ICOS Orbotech: PCB $900M $430M² PCB, Substrate, Components PCB 10μm Component ICS KLA: SWIFT, ICOS Orbotech: PCB, SPTS $350M →~$1,350M (2023) 5μm $100M³ →~$400M (2023E) Advanced Packaging, Advanced Substrate Wafer Level Packaging Panel Level Packaging +$1.0B Incremental Addressable Market4 Advanced IC Substrates KLA: All Semi PC Products Orbotech: SPTS 1μm $1,300M $620M5 Trailing Semi 2μm Advanced Packaging: Interconnect 1µm to 5µm, Panel and Wafer Opportunities $5,400M 71 KLA Non-Confidential | Unrestricted ¹ Revenue, which includes Service; 2 PCB + ICOS CI; ³ SPTS AP + SWIFT BE + ICOS Zeta, F160; 4 8% CAGR + 3D WLP + Adv ICS + SPTS ECD; 5 SPTS + SEMI PC System + SEMI PC Service. 3 $3,650M Wafer Fabrication 0.1μm Advanced Semi KLA+#72Optimizing Sales Channel in Packaging Industry 2018 Packaging Revenue by Customer Other 65% Top 5 Semi Companies 35% Expected to Grow 72 KLA Non-Confidential | Unrestricted ¹ OSAT = Outsourced Semiconductor Assembly & Test. Markets Top 5 Semi Packaging Customers Specialty Semi Packaging OSAT¹ Packaging IC Substrate Process Control (KLA SWIFT) KLA KLA KLA (partial) Products Die Sorting (KLA ICOS) KLA KLA KLA (partial) KLA (partial) Process (SPTS) JE SPTS (partial) Opportunity Area SPTS SPTS (partial) Inspection and Imaging (PCB) PCB (partial) PCB Expand customer penetration through existing relationships ▪ Reduce costs consolidating organizations KLA+#73KLAH Orbotech | Developing Differentiated Technology DI (Direct Imaging) 3μm and 5μm, Advanced ICS and Panel AOI (Automated Optical Inspection) 3μm, Advanced ICS and Panel ■ Large Scale Optics ▪ Large Depth of Focus ▪ Advanced Algorithms 73 KLA Non-Confidential | Unrestricted ▪ Multi-Wave Illumination ▪ On-the-Fly 2D Metrology ▪ New 3D Module 3D Inspection Wafer Level Packaging ■ Bump I/O Leverage Technology to Better Address Market Needs IC Substrate Ball I/O PCB Chip Pitch RDLs II ▪ Market Validation ■ Product Requirement Specs Feasibility Study Pitch KLA+#74Synergy Opportunities through Supply Chain and Logistics. SPTS EFEM¹ Cost Reduction Already Materialized Supply Chain Optimization Manufacturing Logistics OPPORTUNITIES Mutual supplier rebate ✓ Mutual cost reduction ✓ Enlarge base ✓ Terms comparison for current contract manufacturing clean room Consolidate common contract manufacturing supply base ✓ Manufacturing site consolidation ✓ Freight ✓ Warehouse 74 KLA Non-Confidential | Unrestricted ¹ EFEM = Equipment Front End Module. Hürm Annualized Cost Savings (50 bps Gross Margin Improvement) KLA+#75Orbotech Long-term Revenue Plan (SM) ~$1.0B 2019E 75 KLA Non-Confidential | Unrestricted $200M - $250M SPTS $200M - $250M PCB, Component and Display Outperforming Broad WFE at Double-Digit Growth $1.4B - $1.5B 2023E KLA+#76Key Takeaways 1 2 4 Leading supplier of process and process control equipment for the global electronics manufacturing industry 3 Focus on customer success and innovation within new or adjacent markets, expanding our total addressable market 5 Exposure to fastest growing industries benefitting from strong secular trends Leveraging broad product portfolio and capturing co-development opportunities to create differentiated technology Opportunity to optimize shared sales channels and supply chain cost synergies to drive profitable growth 76 KLA Non-Confidential | Unrestricted KLA+#77Opportunities in Automotive Electronics Oreste Donzella | EVP, Chief Marketing Officer + + + + + + + + + + + + + KLA+ + +#78Automotive Electronics Video Semiconductor drivers ▪ Automotive is fastest growing segment Automotive semiconductor content ▪ Number of semiconductors increasing Safety and reliability are required ■ ▪ Latent defect overview ▪ KLA is leading the way . Find latent defects before they escape the fab 78 KLA Non-Confidential | Unrestricted AUTOMOTIVE IS THE FASTEST GROWING SEMICONDUCTOR SEGMENT HOT SUMMER DAY KLA+#79+ + + + + KLA+ Keep Looking Ahead +#80Key Messages 1. 2. 3. 4. Secular transformation within industry driving significant increase of semiconductor content per vehicle Well positioned in fastest growing semiconductor segment at >2x industry rate Develop, define and provide new process control standards to meet industry demands for quality and reliability Leverage innovative portfolio of solutions to meet yield and reliability goals of the industry 5. Target growth opportunities across the entire KLA portfolio 80 KLA Non-Confidential | Unrestricted @ KLA+#81Why Automotive Electronics? Semiconductors at Audi a necessary new core competency >100 Connected Electronic Control Units (ECU) ‒‒‒‒‒‒ 6,000 - 10,000 Semiconductors per Vehicle I believe the auto industry will change more in the next five to ten years than it has in the last 50 81 KLA Non-Confidential | Unrestricted Source: Audi AG, Semiconductor Strategy PSCP, SEMICON Korea, 2018. Mary Barra, CEO and Chairman of GM 2 yrs. vs. 7 yrs. Development of Semiconductors vs. Auto >80% of Innovations Enabled by Semiconductors Data and Connectivity Will Change the Automotive Industry Forever KLA+#82■ ■ Semiconductors Will Enable the Automotive Revolution Connectivity A C FAI Higher RF/Modem content Linked to 5G mega trend Power 82 KLA Non-Confidential | Unrestricted Electrification Processor Introduction of new and unproven materials such as SiC and GaN Apply Test and Metrology Expertise for Broad Range of Industry Components M.2 Memory Autonomous RF Driving Shift to more advanced technologies MEMS KLA+#83Auto Electronics is the Fastest Growing Semiconductor Segment 2018-2023E Semiconductor Revenue CAGR Average: 4.9% ....... Data Processing Consumer Military/Civil Industrial Communication | Automotive 83 KLA Non-Confidential | Unrestricted Source: Gartner. COMMENTARY ~10% CAGR, >2x semiconductor industry average ■ Electric car with L2 automation has 4x more Semiconductor content than a fuel injection car ▪ Average Semiconductor content per car expected to grow ~2x by 2023 Autonomy and Electrification Driving Significant Growth KLA+#84Our Targeted, Strategic Initiatives within Auto Electronics 2010+ Initial Entry into Industry Provide process control systems and services to Semiconductor Wafer Fabs (i.e., ICs) 84 KLA Non-Confidential | Unrestricted 2018+ Strengthen Industry Position ■ Development of internal capabilities: I-PAT¹ in-line quality screening methodology Formal industry outreach: host workshops and build awareness 1 I-PAT: In-Line Parts Average Testing. 2019+ Fortify Industry Leadership I Define industry standards Expand Automotive Semiconductor solutions through the acquisition of Orbotech (Feb 2019) 2020+ Capitalize on Secular Trends ■ Leverage strengths of KLA portfolio of differentiated solutions KLA+#85We Are an Integral Partner with OEMs and Automotive ICs DELIVERING COMMITMENT AND EXPERTISE TO OEMs PROVIDING QUALITY CONTROL SOLUTIONS FOR AUTOMOTIVE ICS ■ ■ Strategically located R&D center in Ann Arbor, MI with proximity to U.S. auto capital Consult on best practices with industry experts Attend and/or host workshops and conferences Building awareness through articles, ads, social media and tradeshows I 85 KLA Non-Confidential | Unrestricted Defects reduction ■ Surfscan® Series Zero-defect screening ■ Advanced node transition 8 Series (certified and relaunched) ■ 39xx and 29xx Series; eDR7xxx™ Series IC packaging ICOS™ Series New material (SiC, GaN) ■ CandelaⓇ CS920; SPTS Omega Etch; SPTS Sigma PVD COLLABORATIONS WITH INDUSTRY LEADERS GM W 000 T Audi TESLA HONDA lyn OTTO Ford FCA Panasonic SAMSUNG W Psterbilt EMBARK Continental ZE Valeo LEAR BOSCH TOSHIBA Micron RENESAS DENSO NXP infineon TOYOTA TATA Industry is More Willing to Collaborate, Powering the Future of Automotive ON Qualcomm A MAGNA Ⓡ APTIV Autoliv XILINX. tsmc GLOBALFOUNDRIES 912 KLA+#86Defining Standards to Address Industry Challenges Failure Sources >50% of Failure Sources Are Due to Electronic Defects 35% 4% 14% 29% 18% ■ Systematic Failures ■ Random Failures ■ Test Coverage Failures Wire Bonding Other 1 KLA Opportunities to Address ▪ Advancing design rules New "exotic" materials (e.g., Si Carbide) Extreme operating environment Rigorous in-line screening methodology Optimized electrical test coverage I ■ ■ Critical to Meet 'Zero-Defect' Quality and Reliability Industry Standards 86 KLA Non-Confidential | Unrestricted Source: Auto OEMs; ¹ Other includes: NTF (no trouble found), EIPD (electrically induced physical damage) and delamination. KLA+#87Complementing Industry Screening Methods to Improve Reliability ▪ Current screening methodology is a statistical technique that was introduced by Automotive Electronics Council (AEC) in 1997 and based on an Electrical Test (EWS¹) New Automotive Process Control Methodology "Zero-Defect" Pyramid I 2016: 5M vehicle recall after defects found within corroding airbag semiconductors, resulting in a cost of $10B to manufacturers ▪ 2019: KLA defines new screening methodology to minimize failures in automotive semiconductor technology Pass Reliability Risk Fail 87 KLA Non-Confidential | Unrestricted 1 EWS Electrical Wafer Sorting. Die Screening Prevent potential reliability failures from escaping the fab AI I-PAT Screening Analytics (what matters) Recipe Quality (sensitivity) Strategy and Methods (sampling, layers, BKMs) Capability and Capacity (tools, tool health) Process Control Optimize fab quality reducing overall defectivity KLA+#88Key Takeaways 1 2 3 Secular transformation within industry driving significant increase of semiconductor content per vehicle 5 Well positioned in fastest growing semiconductor segment at >2x industry rate Develop, define and provide new process control standards to meet industry demands for quality and reliability 4 Leverage innovative portfolio of solutions to meet yield and reliability goals of the industry Target growth opportunities across the entire KLA portfolio 88 KLA Non-Confidential | Unrestricted KLA+#89Financial Framework and Outlook Bren Higgins | EVP, CFO + + + + + + + + + + + + + + KLA+ +#90Affirms Q3-CY19 Guidance $1.31B - $1.39B REVENUE 90 KLA Non-Confidential | Unrestricted $2.04 - $2.34 NON-GAAP DILUTED EPS KLA+#91Key Messages 1. Ability to achieve significant revenue growth with diversified revenue stream 2. Superior margin profile driven by strong customer value proposition and operational discipline 3. 4. Disciplined balance sheet and balanced capital allocation strategy to support growth initiatives Strong operating leverage and cash flow generation to fuel investments and returns 5. Well positioned for profitable growth to deliver superior shareholder value 91 KLA Non-Confidential | Unrestricted @ KLA+#92Maintaining Financial Rigor as We Execute Our Strategy G WHAT REMAINS THE SAME? Continued Focus ▪ R&D spend to maintain technological leadership and add value to the markets we serve ▪ Management by metrics focused on market leadership, productivity, talent and cash flow generation ▪ 40%-50% incremental operating margin ▪ Cash fuels high return investments, strategic M&A with market leaders, dividends and buybacks (balanced approach with ~70% combined target payout) ▪ Disciplined capital structure management 92 KLA Non-Confidential | Unrestricted WHAT IS CHANGING? Heightened Emphasis ▪ Expanding presence and leadership in served addressable markets (e.g., Orbotech) ■ Investments in broader range of growth opportunities ▪ Leveraging KLA technology and engineering competencies Deploying KLA Operating Model to drive value creation from investments Improving operating leverage in acquired positions in new markets ■ Continued Focus on Increasing Shareholder Value KLA+#93Revenue Growth and Diversification (SM) $2,486 2010 $3,165 2011 ■ Other 93 KLA Non-Confidential | Unrestricted $3,127 2012 Semi PC Services $2,813 2013 Wafer Inspection $2,885 2014 8% CAGR Note: Calendar year figures; ¹ Based on consensus estimates as of 9/12/19. $2,848 2015 Reticle Inspection $3,259 2016 ■ Metrology SPTS $3,798 $4,304 2017 ■ PCB, Component and Display 2018 $5,095 ¹ 2019E KLA+#94■ ■ I ■ Strong Financial Performance 59% GROSS MARGIN¹ 63% 63% 64% 2015 2016 2017 2018 60% 2019E3 GM% ranked among leaders in Semi industry Disciplines returns based pricing Global footprint and supply chain to optimize for cost Leverage service offerings to drive efficiencies 94 KLA Non-Confidential | Unrestricted ■ ■ OPERATING MARGIN¹ ■ 29% 37% 38% 40% Superior margin profile vs. peer group5 ■ Drive critical investments in new inflection opportunities 32% 2015 2016 2017 2018 2019E3 Actively manage portfolio to optimize competitive position Cost discipline drives operating leverage ■ ■ $3.58 ■ 2015 DILUTED EPS¹ $5.60 $7.03 $9.14 2016 2017 2018 $8.13 2019E3 Growing accretive recurring revenue ▪ Prudent capital structure Operating model focus while investing for long run Long-term secular earnings growth accelerated by acquisitions and buybacks I ■ 19% 26% ROIC² revenue 29% 2015 2016 2017 2018 39% 28% Design strategy to meet differentiation requirements and protect recurring TTM 4 Note: Calendar year figures;¹ Non-GAAP measure, please see Appendix for reconciliation. 2 ROIC = TTM Non-GAAP operating profit after tax / Average invested capital; Invested Capital = Total Debt + Total Shareholder Equity. 3 Based on consensus estimates as of 9/12/19.4 TTM as of 6'30'19. 5 Peers include: ASML, AMAT and LRCX. Leverage balance sheet to maintain flexibility to meet customer commitments Opportunity to improve cash conversion of acquired businesses KLA+#9570% 65% 60% 55% 50% 45% 40% 35% 30% 25% Margins Among the Best in the Semiconductor Industry GROSS MARGIN¹ 2010 2011 2012 2013 KLAC 95 KLA Non-Confidential | Unrestricted 2014 2015 2016 2017 2018 2019E 2 Semi Cap 3 -Leading Semi 4 40% 35% 30% 25% 20% 15% 10% 5% 0% OPERATING MARGIN¹ 2010 2011 2012 KLAC 2013 2014 2015 2016 2017 Semi Cap ³ 3 -Leading Semi 4 Source: Bloomberg / FactSet; ¹ Non-GAAP measure, please see Appendix for reconciliation. 2 Based on consensus estimates as of 9/12/19; 3 Includes AMAT, ASML, LRCX; 4 Includes ADI, TXN, XLNX, MXIM, MCHP. 2018 2019E 2 KLA+#96R&D and Applications ~70% of Operating Expenses (SM) $1,600 $1,400 $1,200 $1,000 $800 $600 $400 $200 $0 2010 2011 2012 SG&A ¹ 96 KLA Non-Confidential | Unrestricted ¹ Excluding Applications. 2013 Applications 2014 R&D 2015 2016 2017 R&D + Applications (% of Total) Investments Drive Market Leadership 2018 2019E 80% 70% 60% 50% 40% 30% 20% 10% 0% KLA+#97Market Leadership Sustained by New Product Introductions 2012 2900 / 2905 PumaTM 9650 CIRCLTM eS800TM Surfscan® SP3 450 Archer™ 500 Aleris® 8510 WaferSight™M 2+ X5.2™M TeronTM 611 PROLITH™ X4.1 WI-2280 2013 2910/2915 BDR300™ eDRⓇ-7100 eS805TM/eS810™ SpectraShapeTM 9000 Teron™ 630 PROLITHTM X4.2 PROLITH™ X5 ICOS™M CI-T640 97 KLA Non-Confidential | Unrestricted 2014 2920/ 2925 PumaTM 9850 eDRⓇ-7110 Surfscan® SP5 WaferSight™M PWG TeronTM SL650 LMS IPRO6 K-T Analyzer® 9.0 2015 8920 ArcherTM 500LCM SpectraFilmTM LD10 X5.3™M PROLITH™ X5.1 PROLITHTM X5.2 ProDATAT V2.0 CIRCL™M-AP ICOS™ T830 2016 3900 / 3905 2930 / 2935 Puma™ 9980 CIRCL™5 eDR7280™ Surfscan® SP5XP TeronTM SL655 Teron™ 640 PROLITH™ X6.0 RDC 2017 Archer™M 600 ATL100™ SpectraFilm™M F1 SpectraShapeTM 10K WaferSight™M PWG2 FlashScan® 200 TeronTM 640e LMS IPRO7 5D Analyzer® X1 ICOS™M T3 Series ICOS™M T7 Series 2018 VoyagerTM 1015 Surfscan® SP7 Kronos™M 1080 ICOS™M F160 2019 3920 / 3925 2950 / 2955 8930 eDR7380™ eSL10™ ArcherTM 700 / 750 ATL150™ PWG3™ Teron™M SL670e PROLITH™M 2019b ProDATA™M V2.1 KLA+#98High Level of R&D Investment Powers Market Leadership KLA Revenue Applied Materials Hitachi High-Technology NANO/ Rudolph ASML Lasertec KLA R&D Investment $500 2018 PROCESS CONTROL REVENUE ($M) $1,000 98 KLA Non-Confidential | Unrestricted Source: Gartner April 2019 and Company estimates. $1,500 $2,000 $2,500 $3,000 $3,500 KLA+#99Average Selling Price (ASP) Index 2.0 1.8 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0 Operational Excellence in Action | Product Development ROI T EXAMPLE 1 | WAFER INSPECTION 1.0x Iteration 1 1.2x 1 ASP Iteration 2 99 KLA Non-Confidential | Unrestricted 1.6x Iteration 3 1.8x ~600 bps Iteration 4 -Incremental Gross Margin (%) 1 I 8% 7% 6% 5% 4% 3% 2% 1% + 0% Gross Margin % Improvement Average Selling Price (ASP) Index 2.0 1.8 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0 T T T T T 1.0x Iteration 1 EXAMPLE 2 | METROLOGY 1.2x Iteration 2 1.6x IN Iteration 3 Iteration 4 IASP 1.8x -Incremental Gross Margin (%) Enhancing Gross Margin from Platform Extendibility 1.9x ~750 bps Iteration 5 Panc KLA# OPERATING MODEL Foro Console Perform 8% 7% 6% 5% 4% 3% 2% 1% 0% BE KLA+ Gross Margin % Improvement#100Operational Excellence in Action | Margin Improvement from Acquired Business AVG. COST OF GOODS SOLD AVERAGE SELLING PRICE 2008 Gross Margin 1.3x 0.4x 0.9x 100 KLA Non-Confidential | Unrestricted 1.0x 1.6x 2018 Material / Parts Install / Wty. Mfg. / Logistics OUTCOMES & BENEFITS 1.6x Gross Margin Improvement Half from Pricing from Market Leadership I I Half from Operational Excellence Leveraging KLA Global Supply and Manufacturing Leveraging KLA Operating Model and Portfolio to Drive Margin Expansion Panc KLA# OPERATING MODEL Forge Conster High Per KLA+#101Disciplined, Flexible Balance Sheet STRONG DE-LEVERAGING PROFILE (Gross Debt to EBITDA) 3.8x 2014 $3,250 when Above LT Target Range Ability to Quickly De-lever 1.2x 2018 $2,250 Total Gross Debt ($M) 1.8x 1.5x-2.0x LT Target 2019E $3,450 CONSOLIDATED BALANCE SHEET¹ ($M) $ $ $ $ $ Cash Working Capital Total Assets Debt Total Shareholders' Equity BOND MATURITY PROFILE Bonds Outstanding Weighted Average Coupon Weighted Average Life INVESTMENT GRADE CREDIT RATINGS Moody's S&P Fitch 101 KLA Non-Confidential | Unrestricted ¹ As of 6/30/19; 2 Difference between debt of $3.423B and gross debt of $3.450B is un-amortized debt issuance discounts and costs. 1,739 2,797 9,009 3,423² 2,678 $ 3,450M 4.47% 9.1 years Baal BBB BBB+ KLA+#102Balanced Capital Allocation Strategy 2016-2019E 2020E-2023E ~$12B ~$14B-$15B 22% 20% 27% 3% 14% USE OF CASH PRIORITIES 14% 20%-23% 23%-26% 8%-11% 3%-4% 23%-26% 16%-18% PRIMARY Working Capital ¹ R&D ■ Acquisitions² ■Capex (PP&E) SECONDARY ■Share Repurchases Dividends STRONG TRACK RECORD OF ANNUAL DIVIDEND INCREASES ($/per share) ~35% Target Dividend Payout Ratio $0.80 $1.70 $1.50 H $1.20 $1.90 15% CAGR Current Annual Run Rate of $3.40 $2.04 $2.10 $2.26 $0.54 $0.60 $0.60 H 2007 2008 2009 2010 2011 2012 2013 2014³ 2015 2016 2017 $2.84 102 KLA Non-Confidential | Unrestricted ¹ Working Capital (as of 6/30/2019) = Current Assets - Current Liabilities; 2 Acquisitions includes $1.3B equity issued for Orbotech share exchange; ³ Excludes $16.50 per share special dividend. 3 $3.10 2018 2019E $0.10 Quarterly Dividend Increase to $0.85; Additional Share Repurchase Authorization of $1.0B KLA+#103Disciplined and Strategic Approach to M&A Sustainable operating leverage and differentiation Leverages KLA Operating Model Broaden portfolio in complementary areas Recurring revenue opportunities 103 KLA Non-Confidential | Unrestricted Scale, Synergies Alignment Profitability Attractive Markets KLA+ STRATEGIC FILTERS Diversification Leadership Market Intellectual Property Growth and differentiation Aligned with KLA growth strategy High utilization and long useful life systems #1 in key markets Proprietary technology Complementary IP position to drive new product opportunities Product complexity Complex systems integration FINANCIAL CRITERIA ✔ Long run risk adjusted net income return > share repurchase alternative IRR > cost of equity Earnings accretion within first 6-12 months Sustainable cost synergies that exceed control premium Long run ability to drive corporate level operating leverage on incremental revenue growth KLA+#104STRATEGIC FINANCIAL M&A Scorecard Differentiated Products Attractive Markets Market Leadership Profitability Diversification and Growth Scale, Synergies Alignment Margin Improvement Since Purchase IRR > Cost of Equity Earnings Accretion within First 6-12 Months ADE 104 KLA Non-Confidential | Unrestricted 2006 Met and/or Exceeded Filter SENSARRAY / ONWAFER 2007 Partially Met ICOS 2008 SPTS ORBOTECH PCB 2019 In Progress In Progress In Progress FPD ADE STRATEGIC FOCUS New product line ■ Scale with wafer customers ▪ Service opportunity ■ SENSARRAY / ONWAFER ■ ICOS New product lines Consumable revenue streams Higher growth, lower volatility ▪ Expansion outside of WFE Establish backend presence ORBOTECH ■ New product lines in semi ▪ TAM expansion into broader electronics ecosystem Service opportunity ✓ Did Not Meet (with Lessons Learned) KLA+#105Orbotech Cost Synergies Progress Ongoing Focus on Operational Excellence to Drive Synergies FOCUS AREA Public Company Costs Corporate Overhead Direct Supply Chain & Procurement Global Footprint Business Rationalization 2019 105 KLA Non-Confidential | Unrestricted ACHIEVE TARGETED RUNRATE BY 2020 $6M - $8M $20M - $23M | | $10M - $15MI | | $10M 2021+ On Track for ~$50M Synergies in First 12-24 Months; Opportunity for Upside $10M KLA+#1062010-2019E Market Forecasts $500,000 $400,000 $300,000 $200,000 $100,000 $0 SEMICONDUCTOR CAPITAL INTENSITY¹ ($M) LLL 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019E² Semiconductor Revenue Capex Capital Intensity Semi Revenue 2010-2019E CAGR 106 KLA Non-Confidential | Unrestricted 4% 1 Source: KLA Model; 2 Based on Company estimates. 30% 5% 25% 20% 15% 10% 5% 2014-2019E CAGR 0% WFE PROCESS CONTROL GROWS IN-LINE WITH WFE¹ ($M) $70,000 $60,000 $50,000 $40,000 $30,000 $20,000 $10,000 $0 $0 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 ² 2 WFE (includes WLP) WFE (including WLP) Process Control Process Control 2010-2019E CAGR 5% 6% $7,000 $6,000 $5,000 $4,000 $3,000 $2,000 $1,000 7% 7% 2014-2019E CAGR Process Control KLA+#107Long-term Revenue Model Update 6-8% 2017 MODEL 1% -2% ~1% 4% - 5% 107 KLA Non-Confidential | Unrestricted Industry Growth GROWTH DRIVERS ▪ Diversification of end markets Flat-to-increasing capital intensity ▪ New applications ■ Intensity Improvement / Share Gain ▪ New product pipeline Higher Process Control intensity ■ Service Growth ▪ ▪ High fab utilization ▪ Service opportunities in acquired businesses Growth in installed base Through-Cycle Revenue Growth Targets 2023E MODEL ~2% 1% -2% 4% - 5% Industry Growth Intensity Improvement / Share Gain Service Growth 7-9% KLA+#1082023E Target Model Revenue Bridge ~$5.1B¹ 2019E $400M - $500M 4%-5% CAGR Semi PC Systems Core Growth 108 KLA Non-Confidential | Unrestricted $400M - $500M 1% -2% Growth Semi PC Systems Intensity / Share Gain 1 Based on consensus estimates as of 9/12/19. $400M - $500M 9% -11% CAGR Semi PC Services $200M - $250M ~11% CAGR SPTS $200M - $250M ~7% CAGR PCB, Display and Component Orbotech $250M - $350M M&A $7.0B - $7.5B 2023E KLA+#109Updated Normalized Business Model (Revenue Scenarios) Revenue Gross Margin R&D SG&A I $5.0B - $5.5B $5.5B - $6.0B $6.0B - $6.5B $6.5B - $7.0B $7.0B - $7.5B | | 59% - 60% 15% -16% 12% -13% Operating Margin 32% -33% 60% -61% 109 KLA Non-Confidential | Unrestricted Note: Non-GAAP. 14% -15% 11% -12% 33% -34% 60% -61% 14% - 15% 10% -11% 34% -35% 60% -61% 13% -14% 10% - 11% 35% -36% 61%+ ~13% ~10% 36%+ Leverage to Growth and Resiliency in Downturns ASSUMPTIONS ■ Reflects continuation of Semi Process Control business model and addition of new businesses Through cycle performance Aggregate new M&A of ~$300M at ~20%+ operating margin (%), including SBC I ■ ■ 40% - 50% incremental operating margin I $50M+ in combined company synergies from Orbotech acquisition KLA+#1102023E Long-term Targets SALES OPERATING MARGIN EARNINGS PER SHARE CAPITAL ALLOCATION 2023E TARGETS 110 KLA Non-Confidential | Unrestricted $7.0B - $7.5B 36%+ $14.50 - $15.50 ONGOING FINANCIAL Target Payout ~70% of FCF FRAMEWORK 7% -9% CAGR 40% - 50% (Incremental) ~1.5x Revenue Growth Rate MACRO ASSUMPTIONS ▪ Semiconductor industry CAGR of 4% - 5% Capital intensity ~flat ▪ ~60% memory / ~40% foundry/logic mix ▪ Process Control Market grows with WFE ■ Balanced Between Dividend and Share Repurchase Driving Profitable Growth and Delivering Shareholder Value BUSINESS ASSUMPTIONS ▪ Intensity/market share gain at leading edge ▪ Greater exposure to trailing edge markets ▪ New market penetration M&A with synergy execution ■ KLA+#111Key Takeaways 1 2 Superior margin profile driven by strong customer value proposition and operational discipline 3 4 Ability to achieve significant revenue growth with diversified revenue stream 5 Strong operating leverage and cash flow generation to fuel investments and returns Disciplined balance sheet and balanced capital allocation strategy to support growth initiatives Well positioned for profitable growth to deliver superior shareholder value 111 KLA Non-Confidential | Unrestricted KLA+#112Closing Remarks Rick Wallace | CEO and President + + + + + + + + + + + + + + KLA+ +#113Investment Summary Indispensable for Customers Perseverance KLA+ OPERATING MODEL Honest, Forthright, Consistent Drive to Be Better High Performance Teams 113 KLA Non-Confidential | Unrestricted 1 2 3 4 5 Global leader in process control and supplier of process- enabling solutions for the data era Sustained technology leadership allows us to remain at forefront of industry trends with new capabilities and technologies, enabling our profitable growth strategy Competitive moat driven by deep, collaborative customer relationships, a broad IP portfolio, significant R&D investments, and differentiated solutions to solve our customers' most complex challenges Experienced and energized leadership team utilizing the KLA Operating Model to instill a high-performance culture driving efficiency and operating performance Track record of strong cash flow generation supported by diversification of revenue streams; balanced capital allocation delivering superior shareholder value KLA+#114Q&A Session All Presenters + + + + + + + + + + + + + + KLA+ +#115Appendix + + + + + + + + + + + + + + KLA+ +#116Reconciliation of GAAP & Non-GAAP Financial Measures ($M) Total Revenues GAAP Gross Income % Margin Acquisition-related Charges Restructuring, Severance and Other Related Charges Merger-related Charges Non-GAAP Gross Income % Margin GAAP Operating Expense GAAP Operating Income % Margin Acquisition-related Charges Restructuring, Severance and Other Related Charges Merger-related Charges Debt Extinguishment Loss and Recapitalization Charge Restatement-related Charges Non-GAAP Operating Expense % of Revenue Non-GAAP Operating Income % Margin 116 KLA Non-Confidential | Unrestricted $ $ $ $ CY 2010 2,486 $ 1,475 $ 59% 23 1,498 $ 60% 718 757 $ 30% 10 6 5 697 28% 801 $ 32% CY 2011 3,165 $ 1,868 $ 59% 21 2 1,891 $ 60% 799 1,069 $ 34% 10 105 6 778 25% 1,113 $ 35% CY 2012 3,127 $ 1,789 $ 57% 15 1,804 $ 58% 846 943 $ 30% 9 3 834 27% 970 $ 31% CY 2013 2,813 $ 1,633 $ 58% 7 3 1,643 $ 58% 905 728 $ 26% 9 4 892 32% 751 $ 27% CY 2014 2,885 $ 1,644 $ 57% 10 1 1,655 $ 57% 946 698 $ 24% 6 9 3 928 32% 727 $ 25% CY 2015 2,848 $ 1,653 $ 58% 8 11 0 1,672 $ 59% 880 773 $ 27% 5 24 9 843 30% 829 $ 29% CY 2016 3,259 $ 2,051 $ 63% 3 0 1 2,055 $ 63% 882 1,168 $ 36% 2 16 865 27% 1,190 $ 37% CY 2017 3,798 $ 2,406 $ 63% 4 1 2,411 $ 63% 985 1,421 $ 37% 1 9 976 26% 1,435 $ 38% CY 2018 4,305 2,768 64% 4 2,711 64% 1,082 1,685 39% 18 1,065 25% 1,706 40% KLA+#117Reconciliation of GAAP & Non-GAAP Financial Measures 6/30/19 TTM 4,569 2,700 ($M) Total Revenues GAAP Gross Income Acquisition-related Charges Restructuring, Severance and Other Related Charges Merger-related Charges Non-GAAP Gross Income GAAP Operating Expense Acquisition-related Charges Restructuring, Severance and Other Related Charges Merger-related Charges Non-GAAP Operating Expense GAAP Provision for Income Tax Income Tax Effect of Non-GAAP Adjustments Discrete Tax Items Non-GAAP Provision for Income Tax GAAP Income Used in Calculation of ROIC Non-GAAP Income Used in Calculation of ROIC TTM Total Invested Capital GAAP ROIC Non-GAAP ROIC 117 KLA Non-Confidential | Unrestricted $ $ $ $ $ $ $ $ $ CY 2015 2,848 $ 1,653 $ 8 11 0 1,672 $ 880 $ LO 5 24 9 843 $ 130 $ (17) 147 $ 642 $ 682 $ 3,567 $ 18% 19% CY 2016 3,259 $ 2,051 $ 3 0 1 2,055 $ 882 $ 2 16 865 $ 204 $ (6) (3) 213 $ 965 $ 977 $ 3,766 $ 26% 26% CY 2017 3,798 $ 2,406 $ 4 1 2,411 $ 985 $ 1 9 976 $ 671 $ (5) 442 234 $ 750 $ 1,201 $ 4,077 $ 18% 29% CY 2018 4,305 $ 2,768 $ 4 2,711 $ 1,082 $ 18 1,065 $ 195 $ (1) (18) 215 $ 1,490 $ 1,492 $ 3,833 $ 39% 39% 125 2,825 1,310 91 1,219 121 (55) (9) 185 1,268 1,421 5,013 25% 28% KLA+#118Reconciliation of GAAP & Non-GAAP Financial Measures ($M, except per share amounts) GAAP Net Income Adjustments to Reconcile GAAP Net Income to Non-GAAP Net Income Acquisition-related Charges Restructuring, Severance and Other Related Charges Merger-related Charges Income Tax Effect of Non-GAAP Adjustments Discrete Tax Items Non-GAAP Net Income Shares Used in Diluted Shares Calculation GAAP Diluted EPS Non-GAAP Diluted EPS 118 KLA Non-Confidential | Unrestricted $ $ S CY 2015 531 $ 12 35 9 (17) 570 $ 159.3 3.34 $ 3.58 $ CY 2016 864 $ 4 0 17 (6) (3) 876 $ 156.4 5.50 $ 5.60 $ CY 2017 656 $ 5 9 (5) 442 1,108 $ 157.6 4.15 $ 7.03 $ CY 2018 1,421 21 (1) (18) 1,423 155.6 9.13 9.14 KLA+#119Speaker Biographies + + + + + + + + + + + + + + KLA+ +#120Rick Wallace | Chief Executive Officer & President 120 KLA Non-Confidential | Unrestricted Rick Wallace, serves as the CEO and President of KLA Corporation as well as a member of the Company's Board of Directors. He began as an applications engineer at KLA Instruments in 1988 and has held various general management positions throughout his 30+-year tenure with the Company. Earlier in his career, he held positions with Ultratech Stepper and Cypress Semiconductor. Wallace currently serves on the board of directors for Proofpoint, Inc., a security and compliance company. Wallace earned his Bachelor of Science degree in electrical engineering from the University of Michigan and his Master of Science degree in engineering management form Santa Clara University. KLA+#121Bren Higgins | EVP, Chief Financial Officer 121 KLA Non-Confidential | Unrestricted Bren Higgins is Executive Vice President and Chief Financial Officer of KLA Corporation. Higgins, who has been CFO since 2013, oversees and manages the Company's finance, accounting and control functions, global manufacturing and procurement operations, corporate business development, and investor relations. Higgins began his tenure at KLA in 1999 and held a variety of financial roles across the Company. These roles include multiple division and business group controller assignments; senior director of financial planning and analysis; and vice president of corporate finance, where he had responsibility for treasury operations, investor relations, and supported corporate business development activities. Higgins earned his bachelor's degree from the University of California, Santa Barbara, and his master's degree in finance from the University of California, Davis. KLA+#122Oreste Donzella | EVP, Chief Marketing Officer 122 KLA Non-Confidential | Unrestricted Oreste Donzella is an Executive Vice President at KLA Corporation, where he currently serves as Chief Marketing Officer. In his 20 years at KLA, he has held leadership roles in the field of customer engagement, process control solutions, application development, strategic marketing and product development. Before joining KLA, Donzella worked at Texas Instruments and Micron, where he held various engineering and management positions in process integration and yield enhancement. Donzella currently serves in SEMI North America advisory board. Donzella earned his master's degree in electrical engineering from the University La Sapienza in Rome, Italy. KLA+#123Ahmad Khan | President, KLA Semiconductor Process Control 123 KLA Non-Confidential | Unrestricted Ahmad Khan serves as President of KLA Semiconductor Process Control, where he ensures product and business success for all inspection and metrology markets. Khan joined KLA Corporation in 2004 with responsibilities for Business Development before moving into management for multiple product divisions. He was named General Manager of the Optical Film Metrology division in 2007, and more recently was appointed Group Vice President for the Patterning Division, which included reticle inspection, optical CD, overlay and 5D Process Control. Prior to joining KLA, Khan held various product engineering and management roles at Applied Materials. Khan earned his Bachelor of Science in electronics engineering technology from DeVry University. KLA+#124Brian Lorig | EVP, Global Support and Services 124 KLA Non-Confidential | Unrestricted Brian Lorig is Executive Vice President and has served as general manager of KLA Corporation's Global Support and Services organization since 2016. This organization includes the Company's services group and KLA Pro Systems, which enable customers to maintain high performance and productivity through multiple tool generations. Lorig joined the Company in 1998 and has held a number of leadership positions in Manufacturing Operations and Service, including Vice President of U.S. Manufacturing and Operations Group, and Vice President of Global Support and Services Field Operations. Lorig earned his Bachelor of Science in supply chain management from Arizona State University and his MBA from Santa Clara University. KLA+

Download to PowerPoint

Download presentation as an editable powerpoint.

Related

1st Quarter 2021 Earnings Presentation image

1st Quarter 2021 Earnings Presentation

Technology

Rackspace Technology Q4 2022 Earnings Presentation image

Rackspace Technology Q4 2022 Earnings Presentation

Technology

CBAK Energy Technology Investor Presentation image

CBAK Energy Technology Investor Presentation

Technology

Jianpu Technology Inc 23Q1 Presentation image

Jianpu Technology Inc 23Q1 Presentation

Technology

High Performance Computing Capabilities image

High Performance Computing Capabilities

Technology

SOLOMON Deep Learning Case Studies image

SOLOMON Deep Learning Case Studies

Technology

1Q20 Earnings image

1Q20 Earnings

Technology

Nutanix Corporate Overview image

Nutanix Corporate Overview

Technology