KLA Investor Day Presentation Deck

Made public by

sourced by PitchSend

1 of 153

Creator

KLA logo
KLA

Category

Technology

Published

June 2022

Slides

Transcriptions

#1KLA+ Keep Looking Ahead 2022 KLA Investor Day New York City June 16, 2022 www arilgan. FORGIN Mer 3840#2Welcome and Opening Remarks Kevin Kessel VP, Investor Relations and Market Analytics WAN KLA+ KLAT#3Today's Agenda - June 16, 2022 Welcome and Agenda Overview Kevin Kessel VP, Investor Relations and Market Analytics 8:30 am 8:35 am 9:05 am 9:30 am 9:40 am Sustainable Outperformance Rick Wallace President and CEO Semi PC Outperformance Roadmap Ahmad Khan President, Semiconductor Process Control - 10 Min Break EPC Business Expansion Oreste Donzella EVP, Electronics, Packaging and Components 10:05 am Growing a Durable Revenue Stream Through Best-in-Class Services Business 10:30 am Brian Lorig EVP, Services 10 Min Break 10:40 am Long-Term Target Model and Capital Return Strategy Bren Higgins EVP and CFO 11:15 am 12:15 pm 11:10 am Closing Remarks Rick Wallace President and CEO Q&A Session End of Webcast, Lunch for In-Person Attendees KLAH Investor Day 3#4Disclaimer Statements in this presentation other than historical facts, including but not limited to: (i) future trend of digitization, semiconductor and EPC industry growth and evolution; (ii) geographic breakdowns of future global semiconductor production; (iii) our results of operations and financial conditions for 2022; (iv) our 2026 target model and the underlying assumptions; (v) trajectory of results of operations and financial conditions by 2026; (vi) our plan for future shareholder returns; (vii) our future investment plan; (viii) future opportunities, are forward-looking statements and subject to the Safe Harbor provisions created by the Private Securities Litigation Reform Act of 1995. These forward-looking statements are based on current information and expectations and involve a number of risks and uncertainties. Actual results may differ materially from those projected in such statements due to various factors, including but not limited to: the impact of the COVID-19 pandemic on the global economy and on our business, financial condition and results of operations, including the supply chain constraints we are experiencing as a result of the pandemic; economic, political and social conditions in the countries in which we, our customers and our suppliers operate, including rising inflation and interest rates, Russia's invasion of Ukraine and global trade policies; disruption to our manufacturing facilities or other operations, or the operations of our customers, due to natural catastrophic events, health epidemics or terrorism; ongoing changes in the technology industry, and the semiconductor industry in particular, including future growth rates, pricing trends in end-markets, or changes in customer capital spending patterns; our ability to timely develop new technologies and products that successfully anticipate or address changes in the semiconductor industry; our ability to maintain our technology advantage and protect our proprietary rights; our ability to compete with new products introduced by our competitors; our ability to attract and retain key personnel; cybersecurity threats, cyber incidents affecting our and our service providers' systems and networks and our ability to access critical information systems for daily business operations; liability to our customers under indemnification provisions if our products fail to operate properly or contain defects or our customers are sued by third parties due to our products; exposure to a highly concentrated customer base; availability and cost of the wide range of materials used in the production of our products; our ability to operate our business in accordance with our business plan; legal, regulatory and tax environments in which we perform our operations and conduct our business and our ability to comply with relevant laws and regulations; our ability to pay interest and repay the principal of our current indebtedness is dependent upon our ability to manage our business operations, our credit rating and the ongoing interest rate environment, among other factors; instability in the global credit and financial markets; our exposure to currency exchange rate fluctuations, or declining economic conditions in those countries where we conduct our business; changes in our effective tax rate resulting from changes in the tax rates imposed by jurisdictions where our profits are determined to be earned and taxed, expiration of tax holidays in certain jurisdictions, resolution of issues arising from tax audits with various authorities or changes in tax laws or the interpretation of such tax laws; and our ability to identify suitable acquisition targets and successfully integrate and manage acquired businesses. For other factors that may cause actual results to differ materially from those projected and anticipated in forward-looking statements in this press release, please refer to KLA Corporation's Annual Report on Form 10-K for the year ended June 30, 2021, and other subsequent filings with the Securities and Exchange Commission. KLA Corporation assumes no obligation to, and does not currently intend to, update these forward-looking statements. In addition, historical, current, and forward-looking ESG-related statements may be based on standards for measuring progress that are still developing, and internal controls and processes that continue to evolve. Forward-looking and other statements may also address our corporate responsibility and sustainability progress, plans, and goals, and the inclusion of such statements is not an indication that these contents are necessarily material for the purposes of complying with or reporting pursuant to the U.S. federal securities laws and regulations, even if we use the word "material" or "materiality." This presentation also includes non-GAAP measures that our management consider are helpful to investors in understanding our current and future business. For reconciliation of non-GAAP measures to the most directly comparable GAAP measures, please see Appendix at the end of the presentation. The non-GAAP information is among the budgeting and planning tools that management uses for future forecasting. However, because there are no standardized or generally accepted definitions for most non-GAAP financial metrics, definitions of non-GAAP financial metrics are inherently subject to significant discretion (for example, determining which costs and expenses to exclude when calculating such a metric). As a result, non-GAAP financial metrics may be defined very differently from company to company, or even from period to period within the same company, which can potentially limit the usefulness of such information to an investor. The presentation of non-GAAP and supplemental information is not meant to be considered in isolation or as a substitute for results prepared and presented in accordance with United States GAAP. With respect to third-party data, we do not independently verify or audit, or commit to independently verifying or auditing, their information. KLA# Investor Day 4#5Reaffirming June Quarter 2022 Guidance (originally published April 28, 2022) Revenue Non-GAAP Gross Margin* GAAP Diluted EPS Non-GAAP Diluted EPS* June 2022 Quarter * Non-GAAP metric - Refer to Appendix for Reconciliation to GAAP $2,300M to $2,550M 61.50% to 63.50% $4.60 to $5.70 $4.93 to $6.03 Driving profitable growth and delivering shareholder value Macro Assumptions ▪ Semi PC Revenue By End Market ▪ Foundry/Logic: 56% Memory: 44% Model Assumptions ▪ Non-GAAP Operating ■ Expenses*:~$525M ■ Other Income & Expense (OIE), Net: ~$43M ■ Effective Tax Rate: ~13.5% Diluted Share Count: ~150M ■ KLAH Investor Day 5 LO#6Sustainable Outperformance Rick Wallace President and CEO KLA KLA+#7Today's Key Themes The semiconductor industry has become essential to a large number of industries and geographies and is expected to grow and change in ways that benefit KLA 1 2 3 4 5 Our portfolio of innovative solutions positions the company for sustained outperformance as Process Control becomes more broadly adopted and critical We have a history of enabling innovations and we continue to invest in leading-edge technology and new service products to further strengthen our market leadership We demonstrated the strength of our Operating Model by successfully integrating new companies and driving collaboration, innovation, and execution across the entire electronics ecosystem Our resilient business model powered by our portfolio strategy and diversified revenue streams, strong free cash flow generation, and assertive capital allocation continues to deliver best-in-class long-term total shareholder return KLA 392x כוונני KLA 392x also known as "Gen5" Exceptionally well positioned for sustainable outperformance and long-term total shareholder return KLAH Investor Day 7#8Reflecting on Our September 2019 Investor Day Semi demand was accelerating across a broad base The introduction of EUV enables the resumption of scaling The Digital Era was emerging, with a broader range of end markets I I What We Knew Process control intensity was poised to grow Changes in technology drives more need for process control Process control would be more important for capacity growth I I The KLA Operating Model positioned us for success ▪ Leveraged to integrate Orbotech acquisition and expand growth and diversification outside of WFE Would guide our collaboration, innovation, and execution New design starts accelerated on diversified end markets Competitive dynamics are driving a Foundry/Logic "arms race" Scaling has returned and 7nm has rapidly become a "super node" ▪ Advanced design offers compelling economics and drive unprecedented capital investments ■ ■ What's New COVID-19 entered the picture. Permanently accelerated the pace of digitization Elevated the importance of supply chain resiliency I ■ Regionalization of semiconductors became a trend ▪ Semiconductors suddenly had new geopolitical and national security importance Resilient business model positions us well to capture expanding growth opportunities KLAH Investor Day 8#9Digitization of Everything Driving Strong Industry Revenue Growth Semiconductor Revenue Estimated to Exceed $1T by 2030 CAGR ~7% More industries designing at the leading-edge than ever before Semiconductor Revenue $B 1,000 800 600 400 200 2001 PCs Drove Industry Growth 2007 Sources: KLA Analysis, Tech Insights May 2022, Gartner April 2022 1 28nm and above design rules, ex-memory PC + Mobile 0 2016 Data - 11 HE - 2020 11 -11 Digitization of Everything I 111 ((5G)) H Sir AI Overall semiconductor demand driving both leading and legacy node¹ growth M ||| B 2030 KLAH Investor Day Ecosystems Driving Leading Edge Growth 9#10Catalyst for Return to Growth 100% Cost/Transistor vs. 90nm 10% 1% 2004 90nm O Scaling Resumed at 7nm with EUV and Moore's Law Pace is Just Right 90nm 28nm ~22% CAGR Decrease 65nm 45nm Moore's Law Drives 28nm 2010 28nm 10nm ~13% CAGR Decrease Sources: KLA Analysis, Wikipedia June 2022, IC Knowledge Rev 3 2021, Company Reports 20nm 16nm Moore's Law Stalls 10nm 2016 EUV 7nm+ 10nm 2nm ~23% CAGR Decrease 5nm 3nm* Moore's Law Resumes 2nm* Pace of Moore's Law has slowed but still provides cost and performance benefits 2025 *Forecast KLAH Investor Day 10#11Rapid Growth of Design Starts is Fueling Growth >50% Increase in Design Starts and WSPM¹ at 7nm² 3rd Year After Introduction 28nm 20nm Sources: KLA Analysis, Tech Insights May 2022, SEMI May 2022 1 WSPM = wafer starts per month 27nm with EUV 16nm Design Starts WSPM 10nm WSPM 7nm Design starts Design Starts have become more affordable driven by: ■ Foundry ecosystem ▪ Massive design libraries ▪ Al driven design tools ▪ Incentive programs for startups KLAH Investor Day 11#12Supply Chain Regionalization is Driving Significant Investments Asia: China 14th 5-Year Plan Korea K-Belt Initiative Japan, Singapore & Malaysia Govt. Subsidies U.S. Fabs Act and CHIPS Act A New Fab Projects 10 EU Digital Compass & Country Investments Sources: KLA Analysis, SEMI May 2022, Company Announcements ¹Fab Projects = New Fabs + Major Fab Expansions Updated plans since 2019 1 O Brand new plans since 2019 14 14 48 1 Percentage of Semi Production* 53% 19% 28% 2001 70% 13% 17% 2011 Tracking >60 major fab projects announced or broken ground since last investor day 80% 8% 12% 2021 ■ U.S. ■ Europe *Sources: KLA Analysis, Gartner, Chips Act 50% 20% 30% 2031 ■ Asia KLAH Investor Day 12#13KLA is a Diversified Leader in the Electronics Value Chain Founded in 1976 ÅÅÅ ~13,200 ÅÅÅÅÅ Employees (CY21) KLA at a Glance (NASDAQ: KLAC) Headquarters in Milpitas, CA $8.2B CY21 Revenue 吧 19 Countries >65% PhD/Master's among professional roles KLAH Investor Day 13#14Proven Leadership Team Utilizing the KLA Operating Model to Drive Collaboration, Innovation, and Execution Rick Wallace CEO & President Joined: 1988 Ben Tsai EVP, CTO & Corporate Alliances 1984 Bren Higgins EVP, CFO & Global Operations 1999 Ahmad Khan President, Semiconductor Process Control Bobby Bell EVP, Chief Strategy Officer 1994 2004 Oreste Donzella EVP, Electronics, Packaging and Components 1999 John Van Camp EVP, Chief Human Resources Officer 2006 Brian Lorig 2020 EVP, Global Services MaryBeth Wilkinson EVP, Chief Legal Officer & Corporate Secretary 1998 KLAH Investor Day 14#15ESG at KLA Environmental Began annual reporting of global climate emissions in 2021 100% renewable electricity commitment by 2030 $1.5B sustainability-linked revolving credit facility ■ ■ ■ Social First inclusion and diversity (I&D) disclosure in 2020 Driving cultural change to benefit from I&D KLA Foundation invests in all of our communities ■ ■ ■ Governance Broad & diverse independent board of directors ▪ Active, well-funded internal audit function Management compensation aligned with shareholder interests ■ ■ STOR Commitment to continuous innovation KLAH Investor Day 15#16KLA Strategic Planning Process Drives Targets to Meet Objectives ☆ E Environment Priorities Issues / opportunities Plan Targets ▪ Market size, trends, drivers ▪ Opportunities ■ Corporate priorities and financial objectives ▪ Red team - gaps to competition ▪ Green team - gaps to market needs ▪ Definition of success ▪ Product roadmap milestones ■ Financial targets & objectives (penetration, share, adoption) KLA Strategic Planning ▪ Governance of the annual plan ▪ Check in and update market drivers & environment ■ ■ ■ Identify needs for success Process for funding the plan & talent Set targets to determine success KLAH Investor Day 16#17The KLA Operating Model Guides Our Strategy and Differentiation Indispensable for Customers Our Values Perseverance Our Collaboration Differentiators KLA+ Honest, Forthright, Consistent Execution Innovation Drive to Be Better 000 MPPP rformance Te e Consistent Strategy and Execution Application of common processes and discipline Cascades throughout the organization Strong focus on talent development ■ ■ ■ Management by Metrics ■ Culture of performance and accountability ■ ■ Financial Discipline and Rigor Exert efficiency and operating discipline in our investments Strong track record of high returns Focused on enhancing shareholder value ■ ■ Expectation of continuous improvement Superior margins driven by market leadership and differentiation ■ KLAH Investor Day 17#18The KLA Operating Model Guides Our Strategy and Differentiation Indispensable for Customers Our Values Perseverance Our Collaboration Differentiators KLA+ Honest, Forthright, Consistent Execution Innovation Drive to Be Better 000 MPPP rformance Te e Metric Share GM% OM% Talent KLA Operating Model at Work Common language across multiple divisions BKMs implemented across acquired companies ▪ Operational rigor to prioritize performance ■ Scorecard measurement against progress ■ ■ Scorecard Example by Business Group GSS EPC 3 divisions 5 divisions Semi PC 8 divisions KLAH Investor Day 18#19How We Approach Product Development with the KLA Operating Model Our Differentiators ollaboration KLA+ Execution Innovation Collaboration Work closely with customers in early process technology development ▪ Work closely with suppliers' early technology development Work across KLA to reuse applicable technology ■ ■ Innovation Invest heavily in core technology research ■ Extensive investment in labs and R&D talent Blend experienced global talent with new talent to generate new products ■ ■ Execution Ongoing training on time-tested KLA Product Life Cycle Process Management oversight to all major PLC phase reviews Early engagement of Operations, Supply Chain and Service personnel ■ ■ Powering our portfolio and driving sustainable outperformance KLAH Investor Day 19#20Hybrid R&D Structure for Strong Customer Focus Semi PC Product Specific Technology and Engineering ~2,100 design engineers S Surfa Central + distributed R&D produces differentiated products Long Range, Highly Differentiated Technologies CTO EPC Engineering Group ~400 design engineers 1003 Services Pro Engineering ~90 design engineers University Collaboration KLAH Investor Day 20#21Innovation on Core Technologies Across Multiple Product Lines Laser Illumination DUV/UV, Visible, IR, e-Beam, X-ray Optics High-Speed Data Processing, High-Performance Computing Al, Algorithms, Machine Learning, Computational Physics HO CÁC CHI PH CHA V 109 1100 where a pod wi thera 33222- KLA# Da Image Sensors, Cameras Precision Stages, Motion Control, Robotics Broadband Plasma Illumination e-Beam, X-ray KLAH Investor Day 21#22Semi PC Continues to Lead the Industry Semi PC System Revenue 6,000 5,000 4,000 3,000 2,000 1,000 60% 50% 40% 30% 20% 10% 0% 0 ||||||| CY13 CY14 CY15 CY16 CY10 CY11 CY09 CY12 CY11 Market Leadership Measured by market share >4x CY13 CY17 CY18 CY15 mul CY19 CY20 Nearest competitor across all regions CY17 CY19 CY21 CY21 Process Control Market Share KLA Comp A Comp B Comp C Semi PC well positioned for continued outperformance ▪ Innovative and differentiated I process control portfolio ■ Deep customer relationships to deliver right products at the right time Significant R&D investments and deep partnerships with key suppliers KLAH Investor Day 22#23EPC Represents an Attractive Growth Vector for KLA $M 1 Pro Forma $M 1 CY19¹ EPC Systems Revenue CY19 1 CY20 CY21 EPC Operating Income CY20 ~15% CAGR CY21 CY22E ~40% CAGR CY22E WINDH KLA# 000000 000000 BELA Advanced packaging: semi roadmap enabler Demonstrated the power of the KLA Operating Model while capitalizing on key industry inflections KLAH Investor Day 23 000000 Automotive: zero defect, new materials 000000 6,000-10,000 semiconductors per vehicle#24Our Services Business Provides Visibility and Resiliency 2,000 1,500 1,000 500 0 CY02 CY03 CY04 CY05 CY06 KLA Services Revenue ¹ CY07 CY08 CY09 CY10 CY11 CY12 CY13 Note: Calendar year figures; ¹Includes first year warranty in accordance with adoption of ASC606 Source: KLA filings and estimates CY14 CY15 CY16 CY17 CY18 CY19 CY20 CY21 CHI Services delivers recurring revenue ~1.7x industry growth rate Highest percentage of pure service revenue in the industry Resilient and predictable revenue stream Funds R&D, M&A, Dividends Exponential revenue growth: $1B in 40 years, $2B in 4 years KLAH Investor Day 24#25KLA's Revenue Growth is Accelerating into the Digitization Era Digitization Era ■ Diversified semi markets W$ 12,000 10,000 8,000 6,000 4,000 2,000 0 CY02 PC Era ■ PC driven boom-bust cycles Fragmented supply Fragmented Semi Cap market Capital intensity declining CY03 CY04 CY05 CY06 Source: KLA filings and consensus estimate for CY22 as of 6/9/22 CY07 CY08 PC + Mobile Era Reduced cyclicality Emergence of foundry ■ Customer & Semi Cap consolidation Capital intensity declining ■ Semi Revs Growth CAGR ~2-3% KLA Revenue Range Bound: ~$2.5B - $3.2B CY09 CY10 ■ Semi PC System Revenue CY11 CY12 CY13 ■ EPC System Revenue CY14 CY15 CY16 Service Revenue ■ Datacenter and cloud expansion I ■ ■ ■ Automotive electrification COVID-19 accelerated digitization Al & 5G China & regionalization Capital intensity increasing CY17 CY18 CY19 Revenue growth drivers are more diversified and have expanded significantly CY20 CY21 CY22E KLAH Investor Day 25#26Track Record of Revenue Growth and Free Cash Flow Generation $M 10,000 9,000 8,000 7,000 6,000 5,000 4,000 3,000 2,000 1,000 0 CY13 CY14 CY15 Revenue CY16 CY17 CY18 ¹Free Cash Flow = Operating Cash Flow less Capital Expenditures 2 CY22E is consensus estimate as of 6/9/22 CY19 CY20 CY21 CY22E2 ŞM 3,500 3,000 ال...... 2,500 2,000 1,500 1,000 500 CY13 CY14 Free Cash Flow¹ CY15 CY16 CY17 CY18 Improving revenue growth and resilient free cash flow generation CY19 CY20 CY21 CY22E KLA# Investor Day 26#27Product Differentiation and Operational Excellence Drive Success 70% 60% 50% 40% 30% KLAC Product Differentiation Measured by Gross Margin¹ CY11 CY12 CY13 CY14 CY15 CY16 CY17 CY18 CY19 CY20 CY21 KLAC Semi Cap² Source: Bloomberg; ¹Non-GAAP measure, please see Appendix for definition and GAAP to non-GAAP reconciliation. 2 Peers include: AMAT, ASML, LRCX 50% 40% 30% 20% 10% 0% Operational Excellence Measured by Operating Margin¹ KLAC CY11 CY12 CY13 CY14 KLAC CY15 CY16 CY17 CY18 CY19 Semi Cap ² CY20 CY21 KLAH Investor Day 27#28Sustainable Outperformance Drives Strong Results & Achievements 2019 Commitments for 2023E Targets Growth 7-9% revenue growth Semi PC EPC Collaboration, Innovation and Execution are critical to our success Services Capital Returns 6-7% revenue CAGR and 300+ bps market share and intensity gains ~10% revenue CAGR 9-11% recurring revenue CAGR Greater than 70% Results New 2026E Targets Based on 2021 9-11% revenue growth CAGR from 2021 - 2026E 10-11% revenue CAGR driven by market share and intensity gains 11-12% revenue CAGR 12-14% recurring revenue CAGR Greater than 85% Exceeded 2019 commitments and new targets all indicate sustainable improvement KLAH Investor Day 28#29The Future is Bright $14B +/- $500M Target 2026E Revenue EF $38 +/- $1.50 Target 2026E Diluted EPS* (Above) KLA broadband plasma light source which is estimated to be 2x the brightness of the surface of the sun *Non-GAAP financial metric Sustainable outperformance driven by KLA Operating Model 2026E Target Model powered by collaboration, innovation, and execution Optimizing total shareholder return with a focus on assertive capital allocation KLA# Investor Day 29#30Key Takeaways 1 The semiconductor industry has become essential to a large number of industries and geographies and is expected to grow and change in ways that benefit KLA 9 0 2 Our portfolio of innovative solutions positions the company for sustained outperformance as Process Control becomes more broadly adopted and critical 8 2 3 We have a history of enabling innovations and we continue to invest in leading-edge technology and new service products to further strengthen our market leadership ful ? 3 4 We demonstrated the strength of our Operating Model by successfully 1999 integrating new companies and driving collaboration, innovation, and execution across the entire electronics ecosystem G 98 8 LO 5 Our resilient business model powered by our portfolio strategy and diversified revenue streams, strong free cash flow generation, and assertive capital allocation continues to deliver best-in- class long-term total shareholder return 9 9 5 KLAH Investor Day 30#31Semi PC - Outperformance Roadmap Ahmad Khan President, Semiconductor Process Control KLA+ KLA+#32Key Messages | Semi PC 1 2 3 4 Semi PC enables chip manufacturing success with the broadest portfolio of highly differentiated inspection, metrology and software products Our customers use our systems to solve technology inflections in R&D, and we also see increased adoption in HVM¹ as they strive to improve yield and reliability on ever increasingly complex devices We create deep customer relationships to understand key challenges and deliver the required process control solutions at the right time; our customers have an insatiable demand for next generation products We expect sustainable outperformance driven by Semi PC R&D investment, unique expert talent, deep partnerships with our key suppliers; all leveraged within the KLA Operating Model for continued repeatable product success ¹HVM: high volume manufacturing 1 KLAB Achieving sustained outperformance with extensive process control portfolio KLA# KLAH Investor Day 32#33Semi PC at a Glance $5.44B 19% Revenue (CY21) 35% WFE 15.8% Revenue CAGR (CY18 - CY21) 65% ■ Wafer Inspection ■ Patterning ¹KLA filings 2,3 Gartner April 2022, KLA Internal 65%+ 54.4%² including Semi PC service¹ Gross Margin 4x nearest competitor Market Share OEM #1 in 7 out of 9 markets served ³ chip Breadth of Portfolio materials Comprehensive portfolio of advanced inspection, metrology and software solutions serve the semiconductor ecosystem Mo Tc W wafer 100% ~6,500 Uniquely solving our customers' critical challenges % of Major Semiconductor Customers Served ~1.6x increase from CY19 wafer-level packaging Employees reticle KLAH Investor Day 33#34Semi PC | CY18 - CY21 Revenue Bridge $3.2B CY18 >$1B ~$1.8B HVM driven Core growth WFE $425M Intensity / Share $5.4B CY21 ■ 15.8% industry WFE CAGR ■ Semi PC outperformed by 3.2% Gained share during the same period ■ Grew from 5.7% of WFE to 6.2% ▪ Outperformance driven by HVM growth ▪ Outperformed 2023 plan as shown at 2019 Investor Day 19% Semi PC CAGR CY18CY21 ■ Consistent outperformance due to strength of Semi PC product portfolio KLAH Investor Day 34#35Semi PC Continues to Lead the Industry 60% 50% 40% 30% 20% 10% 0% 2010 2011 Source: Gartner April 2022 Process Control Market Share 2012 >4X Nearest Competitor 2013 2014 2015 2016 2017 2018 2019 2020 54.4% 2021 KLA Process Control Comp A Comp B Comp C 54.4% KLA Share in 2021 Ĵ Up 3.3% from 2018 Achieved target from 2019 Investor Day of 53.5% two years in advance Key share gains in optical inspection and optical metrology Significant technology differentiation enables market share and gross margin improvement KLAH Investor Day 35#36Inflections in Every Segment Driven by Device Performance and Cost GAA¹ Transistor Architecture Wafer Backside Power Distribution H KLA# Transistor density Process Control Challenges I ■ Buried defects ▪ Complex stacks Process Control Requirements Optical inspection Multi-stack film measurements ZANIA N ¹GAA: Gate All Around Scalable power routing New inspection & metrology requirements on stacked wafers I Overlay ■ Wafer shape ▪ Optical inspection H Memory Vertical scaling High aspect ratio structures Shape variation ▪ X-ray metrology ▪ Wafer shape Overlay High speed data communication I Interconnect quality Latent defects ■ Packaging I Optical inspection ■ ■ Traceability (software) Overlay ■ ■ EUV Logic in HVM Ramping in DRAM Smaller defects Reticle infrastructure KLAB Optical inspection (Gen5 Print Check) Reticle portfolio Customer R&D intensity increasing due to complexity | Customer HVM intensity increasing due to smaller process margins | Key growth drivers for Semi PC enabling outperformance KLAH Investor Day 36#37Transition to GAA Architecture Brings Additional Process Complexity E KLA Gen4 Gen5 FinFET High End Films 50% increase in number of critical inspection layers 30% increase in high end film metrology layers Gen4 Note: KLA internal estimates based on representative inspection and metrology run cards for advanced logic nodes Gen5 GAA High End Films number of inspection steps number of metrology steps KLAB R&D inflections continue to drive Semi PC growth Process Control Portfolio Refresh Driven by Industry Technology Inflections Gen4 refresh leveraging Gen5 technology ▪ Metrology refresh leveraging advanced algorithms and Al ■ KLAH Investor Day 37#38Process Control Now Required in All Chip Manufacturing Stages Output f(Capacity, Yield) R&D Faster Defect Discovery and Sourcing Ramp Accelerated Yield Learning Cycles HVM Actionable Line Monitoring ~ Time HVM investment increase is a key growth vector for Semi PC Traditionally, most investments were made in R&D; as customers ramped into HVM, process control did not scale ▪ Customer HVM intensity increasing due to smaller process margins I Reuse reducing, backporting increasing due to increased design starts ■ Same trend occurring in automotive KLAH Investor Day 38#39Consistent R&D and Increasing HVM Investment $M Revenue Spend¹ by Top 5 Customers for R&D and HVM CY19 CY20 ¹KLA representative data shown for Gen4/Gen5 optical inspection CY21 R&D Top 5 Customers ■HVM Top 5 Customers CY22E ■ ■ Customers investing more in R&D and even more in HVM Customer R&D intensity increasing due to complexity Customer HVM intensity increasing due to smaller process margins and growing design starts HVM adoption will continue to be a significant growth driver going forward KLA# Investor Day 39#40Customer Challenges in R&D and HVM 5nm transistor gate length Sensitivity detect <10nm defects ME Note: Transistor count estimates based on Apple A15 Bionic processor 15 billion transistors per chip OH Speed full wafer coverage ** KLAH 8.5 trillion transistors per 300mm wafer Semi PC collaborates closely with customers to meet their sensitivity and speed requirements KLAH Investor Day 40#41Optical Inspection Delivers Unique Value JⓇU!! 爸呵呵呵! © Sensitivity ▪ Gen4/Gen5 provides the widest wavelength range to find all defect types and sizes Coverage Note: Defect image sources are SEMICON West 2021, SPIE 104510L, SPIE 1080909 and SPIE 115170U. ▪ 2 TE H 7. 4 I 4 · ▪ Yield enhancement requires wafer level signature analysis ▪ Full wafer inspection is critically important as defects can occur anywhere Broadband platform finds critical defects with full wafer coverage enabling customer success in R&D and HVM KLAH Investor Day 41#4211 1 KLAB KLAB KLA# 392x#43Highly Extendible Optical Inspection Platform light source broadband plasma wavelength bands mechanics precision stage motion control robotics optics objectives lenses filters polarizers ¹TDI: time delay integration, CCD sensor acquires images while scanning continuously sensor TDI¹ image processing high speed data processing HPC AI | ML | algorithms 10+ year roadmap for all key components and strategic relationships with critical suppliers further extends our technology leadership KLAH Investor Day 43#44Optical Inspection Success Summary >$5B >400 >100 Optical inspection revenue since 2019 Investor Day Gen4 systems shipped since release (end of CY22) H KLA 392 Gen5 systems shipped since release (end of CY22) CIFFE KLA 0 10 New optical inspection products introduced since 2019 295x Enabling the semiconductor industry Do 31% Optical Inspection CAGR CY18 - CY21 KLAH Investor Day 44#45ŞM e-Beam Market Overview Optical Inspection vs. e-Beam¹ 3,000 2,400 1,800 1,200 600 0 3,000 2,400 > 1,800 1,200 600 เ CY18 0 Optical Optical Inspection vs. e-Beam Review³ เ เ CY18 Optical CY19 le-Beam CY20 CY21 Optical as % of Total CY19 e-Beam Review CY20 CY21 Optical as % of Total 100% 80% 60% 40% 20% 0% 100% 80% 60% 40% 20% 0% Optical Inspection vs. e-Beam Inspection² 3,000 2,400 1,800 1,200 600 0 3,000 2,400 1,800 1,200 600 CY18 Optical 0 CY18 Optical Metro il CY19 e-Beam Inspection CY19 Optical Metrology vs. e-Beam Metrology4 CY20 le-Beam Metro CY21 Optical as % of Total CY20 CY21 Optical as % of Total 100% 80% ¹e-Beam as defined by Gartner, April 2022. 2KLA analysis with e-beam metrology removed from e-beam inspection. ³Gartner, April 2022. 4e-Beam metrology as defined by KLA. 60% 40% 20% 0% 100% 80% 60% 40% 20% 0% All e-beam applications targeted towards optical assist ▪ Three e-beam segments - inspection, metrology and review - all targeted towards optical assist I e-Beam review scales with optical inspection (complementary) ■ e-Beam metrology <15% of total market - e-Beam inspection not scaling KLA customers requesting stronger coupling between optical inspection and e-beam products KLAH Investor Day 45#46KLA e-Beam Platform Innovation Industry-Unique v ] e-Beam Platform with Unique Architectural Elements Multiple e-beam systems support inspection, review and metrology applications High Beam Current Density essential for high sensitivity inspection of advanced design node devices at high e-beam throughput Industry's Widest Wide Optics Range enables capture of critical defects across an array of process layers Industry-Unique Simul-6™M reduces the time required to identify different defect types with simultaneous surface, topographic, material contrast and deep trench information Industry-Unique Yellowstone™ 10 billion pixels of information per scan enables efficient investigation of suspected hotspots and defect discovery within a broad area Industry-First T SMARTS™ integrated Artificial Intelligence, discriminates between extremely subtle defect signals and surrounding pattern and process noise KLAH Investor Day 46#47Case Study: Optical Inspection + e-Beam Assist Gen5 BBP optical inspection KLA high capture of critical defects at full wafer coverage ■ 1010 1010 eDRX1™ e-beam review KLA DRX1" accurate nuisance filtering at high review speed Concept introduced at 2019 Investor Day ▪ Critical Gen5 information is shared with the e-beam system for defect of interest detection through nuisance filtering Mixed I Critical defect Critical Metal Layer defect results Standard New Technology 2x improvement in critical defect detection ■ All defects classified with nuisance reduced Semi PC unique optical to e-beam connectivity enables R&D and HVM success Nuisance Critical defect KLAH Investor Day 47#48Case Study: Optical Overlay Metrology + e-Beam Assist Overlay Measurements through the Life of One Lot SEMREG™M E200 e-beam overlay Number of Overlay Measurements ال... Design Node Archer™ imaging-based overlay KLA Archer 750. high throughput overlay measurements KLA SEMREG E200 ultra precise overlay measurements e-Beam overlay is used as a calibration input for improved optical overlay accuracy աս Overlay Inaccuracy Optical Semi PC unique optical to e-beam connectivity enables R&D and HVM success 4.5x reduction in optical overlay inaccuracy with e-beam calibration Optical with e-beam assist KLAH Investor Day 48#49R&D Investment Results in Differentiated Products $M 800 600 || ||| 200 400 0 CY15 Semi PC R&D Investment CY16 CY17 CY18 CY19 CY20 CY21 Innovation In Core Technologies light sources image processing AI, DL, ML 6 optics 1010 1010 software data analytics sensors mechanics Continued investment in innovative technologies ensures the right products are available at the right time KLA# Investor Day 49#50R&D Investment to Optimize Reticle Inspection Product Portfolio Percent R&D Investment by System Type 100% 75% 50% 25% 0% R&D Investment for Current and Next Generation Reticle Products CY16 CY17 ¹C00: Cost of Ownership CY18 1% Optical CY19 1% Next Gen CY20 Reticle R&D Investment CY21 CY22E Reticle R&D Investment ($) I ■ Optical technology continues to be the workhorse for all advanced reticle inspection applications Majority of advanced reticles can be inspected using Semi PC optical inspection technology R&D spending focused on next generation technologies Extensive roadmap for optical, e-beam and actinic EUV inspectors Semi PC reticle inspection strategy utilizes multiple technologies to deliver the best CoO¹ solution for customers KLAH Investor Day 50#51Reticle Quality Control Market Overview Patterned reticle inspection ■ Reticle blank inspection ▪ Reticle metrology I 6 01-=-=-* ■ ■ Reticle IC re-qual ▪ Wafer print check ■ HIL Li S 15 FLS -D Patterned reticle inspection EUV post pellicle inspection I Established ■ ■ ■ ■ Pathfinding New; $200M to $600M potential Driven by three key variables with high uncertainty today: 1. Next generation pitch requirement 2. Mass pelliclization 3. High NA EUV HVM timing Well understood; $1B+ Growing Evolutionary improvements I ▪ Established segment: 25 years based on optical inspection workhorse ■ Reticle inspection market has two segments ■ Pathfinding segment: New technologies being evaluated for future tightened pitch requirements KLA participates in both segments KLA leads in established segment | Deep customer collaboration on new technologies in pathfinding segment KLAH Investor Day 51#52Minimum Pitch EUV and Reticle Inspection Landscape >4xnm 4xnm 3xnm 2xnm + 193nm Optical Extension Pitch Relaxation T TeraScanTM 5xx Teron™ 6xx + + 10% EUV 90% +Teron™ 6xx XP + + 7xx (actinic EUV system) EUV Double Patterning + 8xx (e-beam system) Best CoO Solution + |+|+| High NA EUV Gap Layers ■ Semi PC mission: release the best cost of ownership solution by leveraging the portfolio ▪ Optical reticle inspection is the workhorse of today's EUV in HVM ■ Multiple 8xx e-beam systems shipped to address gap layers ▪ Actinic EUV tool in development, intersecting with High NA EUV Semi PC reticle inspection strategy utilizes multiple technologies to deliver best CoO solution for customers KLAH Investor Day 52#53Advanced Reticle Inspection Tools: Progress to Date 8xx Unique Die-to-Database Inspection Reference Target Difference 7xx On Schedule for High NA EUV 78 ** * Multiple 8xx e-beam systems shipped to address gap layers. ▪ Actinic EUV tool in development, intersecting with High NA EUV ■ ■ All reticle inspectors come with KLA's industry-standard die-to- database technology Semi PC R&D strategic investments ensure HVM capable products are available for customers at the right time KLAH Investor Day 53#54KLAE Teron™ 640e KLA+ Complete EUV Reticle Quality Solution optical reticle KLA 8xx e-beam reticle mask shop KLA Teron™ SL670e optical reticle KLA IC fab 392x optical wafer#55Semi PC EUV Reticle Inspection Summary >$2.2B Cumulative KLA EUV reticle qualification revenue KLA >30 Teron™ 640e systems shipped (mask shop) Teron™ 640e >50 Teron™M SL670e systems + Gen5 Print Check systems shipped (IC fab) H™ KLA BETTER 392x cmmmc Semi PC enables EUV in HVM >85% Percent of EUV reticles inspected by KLA in HVM KLA >300 Die-to-database systems installed worldwide with >90% market share Teron™ SL670e KLAH Investor Day 55#56Process Control Software Solutions Is a Significant Growth Area Actionable Information Data Analytics | Al Solutions for Accurate Process Control Petabytes of Fab Data KLA# ...... KLAH MARTIN KLA KLAB CO E Sam NO KLAB Areas ka ■ ■ ■ Petabytes of data generated Semi PC collects, organizes and leverages all data types ■ Experts developing algorithms to develop connections ■ Seamless integration into customers existing infrastructure Combination of long-term internal development with several acquisitions Established ~$200M business serving all semiconductor segments Semi PC software solutions enable customers to make decisions from data, enabling HVM KLAH Investor Day 56#57Semi PC | Driving Sustainable Outperformance $5.4B CY21 ■ I ~$2.4B Digitization Supply chain resilience Core Growth ■ ~$1.2B Technology inflections ▪ HVM growth ▪ Portfolio strength Intensity / Share ~$9.0B CY26E Achieving our CY26 targets through continued HVM adoption CY21 CY26E - 7-8% WFE Industry CAGR 10-11% KLA Semi PC CAGR KLAH Investor Day 57#58Semi PC | Key Takeaways 1 Semi PC enables chip manufacturing success with the broadest portfolio of highly differentiated inspection, metrology and software products 0 9 0 8 E 2 2 Our customers use our systems to solve technology inflections in R&D, and now we B also see increased adoption in HVM as they strive to improve yield and reliability on ever increasingly complex devices E 9 ? 3 3 We create deep customer relationships to understand key challenges and deliver 67789199 the required process control solutions at the right time; our customers have an insatiable demand for next generation products 4 8 L GE 0 78 8 4 We expect sustainable outperformance driven by Semi PC R&D investment, unique expert talent, deep partnerships with our key suppliers; all leveraged within the KLA Operating Model for continued repeatable product success 9 0 KLAH Investor Day 58#59Semi PC Summary 10-11% Semi PC CAGR CY21 - CY26E KLA# U 295x ¹Gartner April 2022 XXXXO >400 Gen4 systems shipped since release ~$9.0B CY26E revenue $5B optical inspection revenue since 2019 Investor Day 54.4%¹ process control market share >100 Gen5 systems shipped since release >$700M Semi PC R&D CY21 KLA 1010 1010 ~6,500 employees KLAH Investor Day 59#60EPC Business Expansion Oreste Donzella EVP, Electronics, Packaging and Components KLA+ KLA+#61Key Messages | EPC Business Expansion 1 2 3 4 Successful implementation of KLA's Operating Model to expand presence in the electronic ecosystem, strengthen market leadership and improve operation efficiency Continued focus on customer success within all the served markets, collaborating with our customers to provide unique solutions to their priority problems Differentiated product portfolio developed for the unique needs of our customers, leveraging KLA's R&D funding and breadth of technologies and innovation Sustainable profitable growth at double-digit top line revenue growth built on collaboration, innovation and execution Bonu Driving sustained outperformance with the power of our portfolio and KLA Operating Model KLAH Investor Day 61#62EPC Represents an Attractive Growth Vector for KLA W$ 1 Pro Forma ŞM 1 CY19 CY19¹ EPC Systems Revenue CY20 CY21 EPC Operating Income CY20 CY21 ~15% CAGR CY22E ~40% CAGR CY22E WINDH KLA# 000000 000000 BELA 000000 Automotive: zero defect, new materials 000000 6,000-10,000 semiconductors per vehicle Advanced packaging: semiconductor roadmap enabler Demonstrated the power of the KLA Operating Model while capitalizing on key industry inflections KLAH Investor Day 62#63The EPC Journey 2008 Strategic Benefit Extended technology and market reach within electronics value chain ICOS KLA+ ■ Diversified revenue base and increased TAM in PCB and FPD markets orbolet AKLA Company ■ orbotech A KLA Company Strategic Benefit Entered packaging equipment industry with leading position in inspection and metrology applications Diversified revenue base and increased TAM in silicon packaging market 2019 SPTS) SPTS A KLA Company 2019 Investor Day Strategic Benefit Established portfolio of etch, PVD and CVD wafer processing ■ Diversified revenue base and increased TAM in semiconductor market 2020 KLA+ Electronics, Packaging and Components (EPC) Combined acquisitions in a single business group Created EPC group to leverage KLA's Operating Model and accelerate profitable growth KLAH Investor Day 63#64EPC at a Glance $0.95B Revenue¹ (CY21) ¹ Systems only 2CY19 is Pro-Forma 2021 Revenue ¹ Mix 29% 11% 21% 39% 15% Revenue CAGR (CY19² - CY22E) ■ Specialty Semi ■ Component ■ PCB ■ FPD +400 bps Gross Margin Expansion (CY19 - CY22E) Specialty Semiconductor 40% Operating Margin CAGR (CY19 - CY22E) Comprehensive portfolio of process and process control solutions for specialty semiconductor, packaging, printed circuit board and flat panel display Component ~2,500 Employees Printed Circuit Board (PCB) Flat Panel Display (FPD) Leading solutions provider across multiple markets with diversified drivers KLA# Investor Day 64#65EPC Presence in Electronics Ecosystem Substrates Reticles Chips Business Units KLA Services Wafer-Level Packaging Semiconductor Process Control (Semi PC) Electronics, Packaging And Components (EPC) Components KLAB EPC brings KLA closer to end customers Printed Circuit Board Flat Panel Display Automotive Connected Devices Mobile Devices 5G Infrastructure Data 0 HD O ● 9 ** .. 1 ** KLAH Investor Day 65 0#66EPC Touches Every Component in Smartphones and Smart Vehicles Flat panel display TRAININ Printed circuit board Packaged component Specialty semiconductor Packaged component Specialty semiconductor 0 wwwwwwwwww Printed circuit board Flat panel display Embedded in automotive and mobile electronics supply chain Auto Electronics 000000 €5 000000 000000 (₁ 000000 >100 connected electronics control units (ECU) 6,000-10,000 semiconductors per vehicle Qualification 2 years vs 7 years 00000 0.0000 Innovations >80% enabled by semiconductors KLA# Investor Day 66#67EPC Revenue Breakdown by End Markets 100% 80% 60% 40% 20% 0% Artificial Intelligence 1 KLA analysis Specialty Semiconductor¹ I CY20 56 CY21 5G Connectivity CY22E 100% 80% 60% 40% 20% 0% Virtual Interaction CY20 Components ¹ CY21 CY22E ● Mobile 100% 80% 60% 40% 20% 0% CY20 000 Data Center Printed Circuit Board¹ Smartphones Automotive Consumer / loT 5G Infra CY21 Data CY22E Our diversified business is driven by secular trends tr -at Automotive 100% 80% 60% 40% 20% 0% High Performance Computing Flat Panel Display¹ HI CY20 CY21 CY22E KLAH Investor Day 67#68Successfully Implementing the KLA Operating Model Across EPC ✓ Our Differentiators ollaboration KLA+ Execution Innovation Collaboration Extending key customer collaboration to newly acquired companies Engaging earlier with the entire ecosystem, anticipating market's needs Collaborating with our customers to provide differentiated solutions ■ ■ Innovation Prioritizing R&D investments to target key markets and applications Leveraging KLA's long history of technology innovations Developing a broad product portfolio driven by tech advancements ■ ■ ■ Execution ■ ■ ■ Implementing KLA Operating Model best practices Realizing ~2x cost synergies vs. deal thesis ($92M vs. $50M) Adopting more rigorous product life cycle and financial planning processes Expanding presence in electronic ecosystem, strengthening market leadership, improving efficiency KLAH Investor Day 68#69Successfully Implementing the KLA Operating Model Across EPC ✓ Our Differentiators ollaboration KLA+ Execution Innovation Collaboration Extending key customer collaboration to newly acquired companies Engaging earlier with the entire ecosystem, anticipating market's needs Collaborating with our customers to provide differentiated solutions ■ ■ Innovation Prioritizing R&D investments to target key markets and applications Leveraging KLA's long history of technology innovations Developing a broad product portfolio driven by tech advancements M Execution m Implementing KLA Operating Model best practices Realizing ~2x cost synergies vs. deal thesis ($92M vs. $50M) Adopting more rigorous product life cycle and financial planning processes Expanding presence in electronic ecosystem, strengthening market leadership, improving efficiency KLAH Investor Day 69#70Multi-Year Collaborations Drive Significant Opportunities in Auto Automotive Zero-Defect Policy Low Reliability Partnering with automotive industry to eliminate latent reliability defects Silicon Carbide Extending market leadership in compound semiconductor power solutions Good Die ¹Additional $100M revenue estimated in service in 2022 from automotive H SPTS SIC etching for EV power inverters DIT 1000 M Mag 2700 KX 184930 218 SPTS SES Bad Die MI Geo S KLA Systems Revenue in Automotive ¹ $M ال... CY16 Semi PC Systems ■Semi Specialty (SPTS) CY17 CY18 CY19 CY20 Reliability and performance are critical for automotive electronics; driving growth for KLA CY21 CY22E KLAH Investor Day 70#71Advanced Packaging: Crucial to Semiconductor Technology Roadmap (% 1 Improved bandwidth Boost in power performance KLAB KLA# New high-end applications 95199 KLA# KLAB Custom form factors FEE 20 160 160 60 From device protection to performance differentiation $ ‒‒‒‒‒‒ Increased overall Si area KLAH Investor Day 71#72High Performance Computing Drives Package Complexity 2009 Flip chip BGA intel. NVIDIA. tsmc AMD SAMSUNG Micron HPC (High Performance Compute) Package Evolution CPU / GPU / FPGA 2014 + Flip chip BGA New performance requirements bring increased package complexity and drive massive investment 2020 2.5/3D Heterogeneous integration Smaller feature sizes 2021+ 2.5/3D 2D and 3D integration schemes Packaging is experiencing lateral scaling and vertical stacking at the same time KLAH Investor Day 72#73Hybrid Bonding Exposes Packaging to Front End-Like Challenges O Log Integration Schemes Logic Logic Logic (memory) Logic (memory) Sensor Image source: TSMC Logic Logic Inemory) Thermo-Compression (Bump) Hybrid (Bumpless) Hybrid bonding provides increased interconnect density Higher bandwidth Faster speed Key for Al logic chips and high bandwidth memory Power efficiency Bonding Void Sources ■ Surface defectivity ▪ Bonding film deposition Dicing profile defectivity Edge profile and defectivity ■ ■ Bonding Non-Uniformity Sources CMP profile and surface topography ▪ Wafer shape ■ ■ Cu pad misalignment Bonding temperature variations ■ Unprecedented inspection, metrology and process integration challenges in packaging industry KLAH Investor Day 73#74Developing Strong Collaborations to Enable Packaging Roadmap Integration and Design Collaborations Process Flow Stackup Design Product Portfolio (wafer-level packaging) KLA+ Fabs' Collaborations Stacking Defectivity Integration Reduction 3 Metrology Product Portfolio (IC substrates) 30+ joint projects initiated in packaging and substrates in the last 18-24 months Data Automation KLAH Investor Day 74#75Case Study: ICOS Component (Final Package) Inspection ■ ■ Multi-die components have higher quality requirements ■ Problem Complexity and cost driving 100% inspection of final multi-die components Large form factor, complex architecture and shrinking geometry require advanced inspection and metrology Solution Developed new inspection platform for large form factor components at very fast throughput Designed new optics to optimize focus on every surface to improve defect detection Created new top 3D metrology capability to accurately measure height of each die KLA THE ICOS™M T390 component inspection ŞM CY16 Results Increased defect sensitivity and accurate 3D metrology Top 3D - Die height & capacitor height ICOS component inspection (2.5D/3D) revenue CY17 CY18 CY19 CY20 Complex packages require more advanced inspection and metrology capability CY21 CY22E KLAH Investor Day 75#76Case Study: SPTS Plasma Dicing for Advanced Packaging Wafers are cut into single die in a singulation process before final assembly ■ ■ ■ Problem Wafer singulation is usually done with blade and/or laser, which creates particles and cracks Defects can lead to failures of entire multi-die package, causing huge economic losses Plasma etch based singulation provides superior cleanliness, but integration is complex Solution Developed differentiated plasma dicing solution State-of-the-art demo lab created to develop full integration process around wafer singulation Validated process performance via multiple advanced customer collaborations SPTS Mass SPTS Mosaic plasma dicing TM $M CY16 Results Plasma dicing results in smooth profile and low defectivity Rough Die Edge with Blade Dicing CY17 SPTS plasma dicing bookings CY18 PM FLACHADIGING D Clean Die Edge with Plasma Dicing CY19 Heterogenous integration requires new standard of cleanliness in wafer dicing CY20 CY21 CY22E KLAH Investor Day 76#77Innovation Does Not Happen Without Collaboration bo! 1 KLA 0 MosaicTM system for plasma dicing of framed 300mm wafers Presented by Lord Lieutenant of Gwent on behalf of the Queen 24th May 2022 KLA+ Investor Day 77#78Successfully Implementing the KLA Operating Model Across EPC ✔ Collaboration Our Differentiators ollaboration KLA+ Execution Innovation m Innovation Prioritizing R&D investments to target key markets and applications Leveraging KLA's long history of technology innovations Developing a broad product portfolio driven by tech advancements ■ ■ ■ Extending key customer collaboration to newly acquired companies Engaging earlier with the entire ecosystem, anticipating market's needs Collaborating with our customers to provide differentiated solutions Execution Implementing KLA Operating Model best practices Realizing ~2x cost synergies vs. deal thesis ($92M vs. $50M) Adopting more rigorous product life cycle and financial planning processes m Expanding presence in electronic ecosystem, strengthening market leadership, improving efficiency KLAH Investor Day 78#79Long History of KLA Technology Innovation <1990s First wafer inspector invented First reticle inspector invented First laser scattering wafer inspector First inline overlay metrology system First unpatterned wafer inspector Continuous innovation in subsystem technologies KLA# Leveraging KLA's portfolio of product and technology innovations Today 39xx high-res ВВР TeronTM 640e Dual-imaging Voyager 1015 DUV Platform ArcherTM 750 tunable λ IBD Surfscan® SP7XP DUV Line Scan KLA# Investor Day 79#80Case Study: PCB AOI¹ Fine for Advanced IC Substrates IC substrates are key enablers of advanced packaging technology roadmap ■ Air gap for on-axial aberration compensation 1999 HR ¹AOI = Automated Optical Inspection LR Problem ▪ Suppliers facing challenges to scale capability, capacity and yield Developed new inspection platform with advanced integrated optics jointly with KLA central engineering and CTO group ** ■ Air gap for on-axial aberration compensation ■ Smaller feature sizes driving more advanced panel-based inspection capability Current inspection products do not meet market requirements Solution a Tube Results Improved contrast and resolution Current Unique defects detected $200-250M cumulative systems revenue in next 5 years EPC - KLA joint development effort to enable aggressive IC substrate technology roadmap KLAH Investor Day 80#81Successfully Implementing the KLA Operating Model Across EPC ✔ Collaboration Our Differentiators ollaboration KLA+ Execution Innovation Extending key customer collaboration to newly acquired companies Engaging earlier with the entire ecosystem, anticipating market's needs " Collaborating with our customers to provide differentiated solutions m Innovation Prioritizing R&D investments to target key markets and applications = Leveraging KLA's long history of technology innovations Developing a broad product portfolio driven by tech advancements Execution ■ ■ ■ Implementing KLA Operating Model best practices Realizing ~2x cost synergies vs. deal thesis ($92M vs. $50M) Adopting more rigorous product life cycle and financial planning processes Expanding presence in electronic ecosystem, strengthening market leadership, improving efficiency KLAH Investor Day 81#82Case Study: KLA Operating Model Implementation $M Total $50M Deal Thesis Cumulative Synergies Achieved CY19 Synergies focus area Public company costs Corporate overhead Direct supply chain and procurement Global footprint Business rationalization CY20 CY21 ~$92M CY22E Cumulative synergies achieved ~$16M ~$24M ~$25M ~$6M ~$21M ~$92M Highlights Extended key customer collaboration to new divisions ■ ■ ■ ■ O ■ Widened KLA brand awareness outside core semi Developed a new organization to reflect KLA's values Realized 1.9x cost synergies vs. deal thesis Target to improve operating income by ~3x from 2019 to 2022E (~40% CAGR) Successfully integrated different businesses and streamlined organizations while reducing costs KLA# Investor Day 82#83Case Study: Advanced Packaging Revenue Growth ŞM 1 KLA analysis CY16 CY17 CY18 2016-2018 CAGR = 12% CY19 Collaborate Top 5 semi customers, OSATS, ICSS CY20 Innovate Wafer Level Packaging New technologies, products and methods CY21 Execute On time program delivery, on-site support Assembly and Test CY22E¹ IC Substrate 2019-2026E CAGR = 24% Achieving revenue targets ahead of 2023 plan - growth will continue through 2026E • CY26E¹ KLAH Investor Day 83#84EPC | Driving Sustainable Outperformance $0.75B CY19² $0.8B CY20 $0.95B CY21 1 EPC Revenue ¹ ¹ Systems only 2 Pro Forma ³Company Data 4Display MicroLED introduction and OLED Share Gain ~$290M Market Growth (5.5% CAGR) ~$80M 2021-2026E Revenue Growth Drivers 3 ~$240M Automotive Specialty Advanced Packaging ~$90M CY21 CY26E 11-12% KLA EPC CAGR 4 Display SAM/Share Double digit revenue growth; 40-50% incremental operating income from CY21 O ~$1.65B CY26E KLAH Investor Day 84#85EPC | Key Takeaways 1 Successful 3 implementation of KLA's Operating Model to expand presence in the electronic ecosystem, strengthen market leadership and improve operation efficiency 0 9 9 0 8 2 Continued focus on customer success within all the served markets, E collaborating with our customers to provide unique solutions to their priority problems 9 ? 3 9 3 Differentiated product portfolio developed for the unique needs of our customers, leveraging KLA's R&D funding and breadth of technologies and innovation 19 2 4 8 L 1 6E 0 9.8 4 Sustainable profitable growth at double digit top line revenue growth built on collaboration, innovation and execution 8 6 KLAH Investor Day 85#86EPC Summary 13% EPC Revenue¹ CY192-CY21 CAGR KLA 1005 190 ¹ Systems only 2CY19 is Pro-Forma 40-50% Incremental Operating Margin CY21 - CY26 ~$1.65B CY26E Revenue ¹ +420 bps CY21 Gross Margin expansion since CY19 KLAB Orbotech Utra Dimension900 24% Packaging + ICS Revenue CY192 - CY26 CAGR acco +1,070 bps CY21 Operating Margin expansion since CY19 ~2,500 employees KLAH Investor Day 86#87Growing a Durable Revenue Stream Through Best-in-Class Services Busines Brian Lorig EVP, Global Services KLA + KLA+#88Key Messages | Services Strong customer value proposition: partner with customers to maximize the value of their Fab 1 2 3 4 5 Uniquely positioned to support High Complexity, High Mix, Lower Volume, Long-Lived installed base Evolving data and analytics platform: moving Services' delivery from reactive to predictive Proven Operating Model powers scale, efficiency and durability - underpinning a culture of collaboration, innovation, and execution Industry-leading service model with >75% of revenue coming from multi-year, subscription-like service contracts; driving new long-term 12-14% CAGR, up from 9-11%#89KLA Services at a Glance¹ S ~$1.8B >99% Revenue Purity of Reported Services Revenue² 1 year ahead of 2019 plan % 22% of KLA revenue from Services New from 2019 >4,000 Customer facilities 뉴 >75% Subscription-Like Service Contracts Up from 70% in 2019 >235,000 Spare parts / assemblies S >95% Renewal Rate Up from 90% in 2019 >3,000 Customer service engineers ¹KLA Services at a Glance numbers reflect CY21 actuals 2As of 4/2022 - Purity defined as revenue only derived from installed base support, such as service contracts and break/fix service offerings; does not include upgrades, refurb tools, etc. 園 12-14% CAGR (through 2026E) up from 9 - 11% III >3 yr Length of Service Contract Increased 40% from 2019 >250,000 Service calls | 4.5x Revenue Growth Over Install Base Growth Rate New from 2019 >48,000 Installed base KLAH Investor Day 89#90Well Aligned to Benefit from Industry Megatrends Artificial Intelligence Market Type O Leading-Edge Development High-Volume Leading-Edge Manufacturing High-Volume Legacy Node Manufacturing Percentage of KLA Services Business in CY21 56 5G Connectivity ~20% ~45% ~35% Virtual Interaction Customer Goal Develop, ramp & transfer new technology to high volume manufacturing Maximize good wafers out at lowest possible cost Maximize good wafers out at lowest possible cost ● Mobile 000 Data Center KLA Strategy New product introduction & service solutions maximize uptime of KLA tools Optimized production monitoring while reducing customer cost of ownership Lifecycle management through fab optimization, enhancements & services tr -at Automotive High Performance Computing Results Customers accelerate transitions to high volume manufacturing Customers achieve higher yield, at lower costs, through predictable system availability Customers extend the useful life of their KLA assets KLAH Investor Day 90#91Power of KLA Portfolio: High Mix, High Complexity, Lower Volume + ВВР High Mix + + RAPID e-Beam + + SWIFT Surfscan + SPTS + LS + + ADE FAST + + + + OMD SensArray Instruments PCB + + ICOS FPD Frontline 13 High Complexity KLAB Creates high barrier to entry for service Lower Volume 1000s chambers per fab 100s tools per fab KLA# Investor Day 91#92Installed base units Longevity of Installed Base Enhances Customer Productivity 50,000 45,000 40,000 35,000 30,000 25,000 20,000 15,000 10,000 5,000 0 Semi PC and EPC Installed Base CY95 CY96 CY97 CY98 CY99 CY00 CY01 CY02 CY03 CY04 CY05 CY06 CY07 CY08 CY09 CY10 CY11 CY12 CY13 CY14 CY15 CY16 CY17 CY18 CY19 CY20 CY21 Class of '90 Class of '95 Class of '00 Class of '05 Class of '10 ■Class of '15 Class of '20 Highlights >50% of our installed base is older than 18 years old ■ ■ ■ Average peak installed base per class year is achieved in ~12 years >80% of tools shipped in KLA history remain in production Customers continue to utilize tools in production long after full depreciation (2-3 times) ▪ Class represents the year the product was introduced Over the life of a tool, service revenue exceeds initial tool sale price KLAH Investor Day 92#93Successfully Implementing the KLA Operating Model Across Services Our Differentiators ollaboration KLA+ Execution Innovation Collaboration KLA Services teams embedded with customers, supporting achievement of customer goals W ■ ■ Innovation Deploying leading edge AR/VR technology to support remote service, collaboration and advanced training Powerful data and analytics drive predictive maintenance strategies and business insights Significant investments to train and upskill employees on increasingly complex assets in hi- ramp production environments ■ ■ ■ Execution Consistent strategy focused on results Management by metrics built on strong continuous improvement culture Disciplined organization and unique systems to manage complex global supply chain ■ Deep supplier relationships ensure supply continuity and higher quality Closely coordinated with KLA design and manufacturing operations to ensure seamless customer experience H ■ Rigor and performance that improves customer experience KLAH Investor Day 93#94Successfully Implementing the KLA Operating Model Across Services Our Differentiators ollaboration KLA+ Execution Innovation Collaboration KLA Services teams embedded with customers, supporting achievement of customer goals W ■ ■ Deep supplier relationships ensure supply continuity and higher quality Closely coordinated with KLA design and manufacturing operations to ensure seamless customer experience Innovation Deploying leading edge AR/VR technology to support remote service, collaboration and advanced training Powerful data and analytics drive predictive maintenance strategies and business insights Significant investments to train and upskill employees on increasingly complex assets in hi- ramp production environments Execution Consistent strategy focused on results Management by metrics built on strong continuous improvement culture Disciplined organization and unique systems to manage complex global supply chain Rigor and performance that improves customer experience KLAH Investor Day 94#95Contract Services Adoption Rate Continues Increasing % of service revenue 100% 0% CY01 Semi PC Revenue ■KLA Contract CY11 ■KLA Billable Not KLA CY21 Highlights ▪ Increasing complexity of manufacturing process and KLA equipment ▪ Record-level factory utilization Customers geographic footprint expanding ▪ Inter-Fab technology transfers ▪ Renewed emphasis on reliable supply chains ■ ■ Growing importance of specialized talent Increased complexity, usage and customer urgency drives greater service contract penetration KLAH Investor Day 95#96Case Study: Legacy Node¹ Customer Spend With KLA Services ■ ■ ■ ■ Environment 2013 - 2018: Factory utilization 70-80%, stable manufacturing processes, and less stringent end-customer requirements Customer Approach: Harvesting parts from older tools, leveraging secondary tool market, utilizing experienced internal and/or 3rd party resources 2019-2021: Factory utilization >95%, customers demanding better performance and throughput per square foot, more stringent end- customer requirements: reliability, quality, delivery, performance KLA Response Collaborated with customers to improve fab optimization, data and analytics, and tool security Created a dedicated team to focus on legacy node customers Invested in engineering resources to solve obsolescence challenges Hired and trained resources and increased inventory investment $M 128nm and above design rules, ex-memory * Chart represents single tool set Results Legacy node customer spend with KLA Services* ||| CY13 CY14 CY15 CY16 CY17 CY18 CY19 CY20 CY21 Continued customer demand drives legacy node service revenue growth KLA# Investor Day 96#97Successfully Implementing the KLA Operating Model Across Services Our Differentiators ollaboration KLA+ Execution Innovation ✔ Collaboration KLA Services teams embedded with customers, supporting achievement of customer goals Innovation Deploying leading edge AR/VR technology to support remote service, collaboration and advanced training Powerful data and analytics drive predictive maintenance strategies and business insights Significant investments to train and upskill employees on increasingly complex assets in hi- ramp production environments ■ ■ Deep supplier relationships ensure supply continuity and higher quality Closely coordinated with KLA design and manufacturing operations to ensure seamless customer experience ■ Execution Consistent strategy focused on results Management by metrics built on strong continuous improvement culture Disciplined organization and unique systems to manage complex global supply chain Rigor and performance that improves customer experience KLAH Investor Day 97#98Multi-Faceted Services Innovation Strategy Mixed Reality Support Developing augmented reality-based solutions for remote services that allow for rapid response to customer needs while preserving IP protocols Training Reinvention Curriculum enrichment to deliver web-based, on-demand and augmented-reality to complement hands-on training and accelerate proficiency and readiness to serve ‒‒‒ 888 KLA+ Human Capital ■ Attract and retain innovative talent Onboarding new skill sets and capabilities ■ gr Digital Transformation Investment in IOT and data analytics to enable customer-tailored value ■ Expedited Delivery Augmented localized teams to allow for more rapid and customized service delivery and installation Operational systems transformation and investment improves response Transformation improving customer experience KLA# Investor Day 98#99Service Innovation Drives Customer Value Evolution of KLA Digital Twin Third generation of complex parametric and configuration data gathering Analyze parameters for install validation, customer matching requirements, and recipe development, driving faster time-to-scale and frictionless integration with existing customer footprint Smart Equipment Monitoring performance of critical sub-assembly characteristics and compliance from tool-to-spec, tool-to-tool, and tool-to-fleet ■ Thousands of tools connected, broad repository of equipment health data for trending and control, and continuing development of actionable insights Digital Services 7x increase in use of AR/VR supported training, certification and remote service, reducing technical onboarding time and improving service efficiency and accuracy Virtual Process Development ■ Modernization of traditional service delivery using data and analytics to reduce variability and improve efficiency of service tasks I Bespoke customer process and internal service criteria - matched to physical customer product - modeled and maintained for consistent customer results Leveraging dedicated KLA software solutions products to acquire over a trillion GB of data, run analysis on tools, and maximize fleet performance Global Support - KLA Knowledge Network Real-time Inventory & Auto-order Fleet Management Diagnostics & Digital Twins >>>> Virtualized Training & Certification Modernized toolbox for maximum tool life and performance A Service Portal & Tech Talks Remote Support KLA# Investor Day 99#100KLA Services Roadmap Improving Customer Value Customer-selected Service Level *KLA estimates On-Tool Diagnostics Log Files In-Fab Real-time Data Collection Reactive Actionable Insights Driven by KLA Network Cloud-based Data and Analytics Predictive Continuous Feedback Loop Self Calibration & Repair Resourcing Insights Real-time Global Fab Analytics Advanced CSE Assisted System Smart Order and Dispatch Semi-Autonomous CY17 Current* ■Semi-Autonomous Predictive Reactive KLA# Investor Day 100#101Successfully Implementing the KLA Operating Model Across Services Our Differentiators ollaboration KLA+ Execution Innovation Collaboration KLA Services teams embedded with customers, supporting achievement of customer goals Innovation Deploying leading edge AR/VR technology to support remote service, collaboration and advanced training Powerful data and analytics drive predictive maintenance strategies and business insights Significant investments to train and upskill employees on increasingly complex assets in hi-ramp production environments Execution Consistent strategy focused on results Management by metrics built on strong continuous improvement culture Disciplined organization and unique systems to manage complex global supply chain ■ Deep supplier relationships ensure supply continuity and higher quality Closely coordinated with KLA design, manufacturing and operations to ensure seamless customer experience H ■ Rigor and performance that improves customer experience KLAH Investor Day 101#102Talent & Culture Underpin KLA Services Execution 8 Technical Training Install & Support Depth Services >3,000 Services employees Average tenure of KLA >8 yrs Services employees >4M Labor hours in 2021 3 Regionalized Customer Experience Parts and Logistics Services Foundation Experience Comprehensive 9-18 month training process per tool to develop expertise Quick access to global network of 235,000+ spare parts Customer Service Engineers (CSEs) access to KLA global network of experts Engineering Customized Service Outcome Education PhD Masters Bachelor • AA/Advanced ■ Other KLAH Investor Day 102#103Case Study: Integrating Services from Acquired Businesses ■ ■ - ■ M I Environment Pre-Acquisition Primary focus is on new tool penetration and adoption Limited investment in inventory to support just-in-time delivery of spare parts Significant incremental investment required to provide service at new customer sites Encourage customers to self-service, providing documentation and training Deploy KLA Operating Model Design for Service: Service is an important consideration from product inception Systems and Metrics: Common CRM systems and metrics to enable insights and drive improvements KLA Services Infrastructure: Leveraging existing KLA footprint reduces incremental investment and lead-time to support new and existing customer sites Go-To-Market: Synergies with KLA channel to drive both product and service Services revenue per tool on acquired business (ADE) CY10 2x Results CY21 EPC service revenue growth rate Pre-acquisition Deploying KLA Operating Model in Services drives improved customer experience • 1.5x CY20 CY26E KLAH Investor Day 103#104Revenue Increasing at Faster Pace: Exceeding $3B by 2026 3,500 3,000 2,500 2,000 1,500 1,000 500 0 CY02 CY03 CY04 CY05 CY06 3,000 KLA Services Revenue ¹ 2,000 ΣB 1,000 CY07 CY08 0 CY09 40 Years to Achieve CY10 CY11 CY12 +4 years CY13 CY14 +4 years Note: Calendar year figures; ¹Includes first year warranty in accordance with adoption of ASC606 Source: KLA filings and estimates CY15 CY16 CY17 CY18 12% -14% CAGR CY19 CY20 CY21 CY26E A CHI Services delivers recurring revenue ~1.7x industry growth rate • Highest percentage of pure service revenue in the industry Resilient and predictable Strong generator of Free Cash Flow Exponential revenue growth: $1B in KLA's first 40 years, $2B in 2022, climbing above $3B by 2026 KLAH Investor Day 104#105Services | Driving Sustainable Outperformance $1.8B CY21 ~$1.3B Semi Process Control ~$0.2B Electronics, Packaging & Components ~$3.3B CY26E KLAH Investor Day 105#106Services | Key Takeaways 3 1 Strong customer value proposition: partner with customers to maximize the value of their Fab 0 9 0 2 Uniquely positioned to support High Complexity, High Mix, Lower Volume, Long- Lived installed base 8 2 3 Evolving data and analytics program: moving Services' delivery from reactive to predictive d 8 ? 9 3 97¹ 4 Proven Operating Model powers scale, efficiency, and durability - underpinning a culture of collaboration, innovation, and execution 47 F GE 78 LO B 5 Industry-leading service model with >75% of revenue coming from multi- year, subscription-like service contracts; driving new long- term 12-14% CAGR, up from 9-11% 0 KLA# Investor Day 106#107Long-Term Target Model & Capital Return Strategy Bren Higgins EVP and CFO KLA+ KLA+#108Key Messages | Long-Term Target Model & Capital Return Strategy Delivering sustainable market outperformance guided by the KLA Operating Model which supports collaboration, innovation, and execution 1 2 3 4 5 Portfolio strategy drives differentiation and competitive advantage, leading to strong operating leverage, resilient free cash flow generation and consistent capital returns Collaborative supply chain strategy helps drive execution, meet customer commitments and deliver on financial targets Pace of Moore's Law and trends of end market demand enable KLA to continue to innovate to drive product longevity and optimize the financial model Leveraging our strong investment-grade balance sheet to support growth, enhance scalability of the business, and fuel assertive capital allocation initiatives JUR Surfsca SP KLA AATITITE Surfscan® SP7XP KLA Surfscan® SP7XP Targeting 9-11% revenue CAGR and 1.5x earnings leverage with strong FCF generation and capital return > 85% KLAH Investor Day 108#109Significant Progress Since 2019 Investor Day Where We Were 2019 Investor Day Where We Are 2022 Investor Day ■ ■ Targeted 7-9% revenue growth CAGR from 2019 2023E Continued high levels of investment in R&D to broaden portfolio and expand market leadership Focused on integrating recent acquisitions and driving synergies Codified KLA Operating Model Capital Returns >70% ■ Source: KLA filings and estimates, Gartner April 2022 ■ ■ Achieved 300+bps of market share and intensity gains Delivered strong relative growth with a more diversified revenue stream. Semi PC growth CAGR +3% vs. WFE (from '18-'21) Grew recurring revenue from Services (14% revenue CAGR from '19-'21) Exceeded synergy targets by ~2x and improved margins in acquired businesses Invested in talent, global infrastructure and scale to support structural growth Capital Returns > 80% since 2019 Investor Day Where We Are Going 2026 Target Model Optimizing business through technology leadership + portfolio leverage Targeting 9-11% revenue growth CAGR from 2021 2026E Continuing to grow KLA's share of WFE from low 6%s to ~7.25%+ Sustaining 40-50% incremental operating margins and delivering 1.5x EPS leverage Capital Returns > 85% Continued focus on growth drivers, operating leverage, and deploying capital KLAH Investor Day 109#110Sustainable Revenue Growth and Diversification $3.1B CY12 CY13 Source: KLA filings and estimates CY14 CY15 CY16 ■Semi PC Systems Revenue CY17 ~11% CAGR CY18 EPC Systems Revenue CY19 CY20 Service Revenue CY21 CY22E ~$14B CY26E Key Characteristics of Target Revenue Mix ■ ■ ■ ■ ■ Leading edge investment driving continued foundry/logic node progression & increased design starts EUV adoption in DRAM & higher layer counts in NAND drive increasing process control requirements Legacy node investments to support the digitization of everything is accelerating EPC drives diversified process & process control revenue streams & expands our exposure beyond WFE Growing recurring revenue streams from Services Multiple vectors accelerating KLA's long term revenue growth KLAH Investor Day 110#111Track Record of Strong Profitability Gross Margin¹ Operating Margin¹ 64% 60% m ■ ■ ■ CY18 CY19 61% CY20 63% CY21 GM% ranked among leaders in Semi industry Improvement since 2019 driven by differentiation, higher revenue volume 63% CY22E² Absorbed ~$1B of acquired revenue while improving GM% performance of acquired businesses I 40% 33% 36% 42% CY18 CY19 CY20 CY21 Superior margin profile vs. peer group³ 42% ¹Non-GAAP measure, please see Appendix for reconciliation. 2Based on consensus CY22 as of 6/8/22 Nasdaq IR Insight. ³Peers include: ASML, AMAT and LRCX. CY22E² Extendibility of product platforms reduces R&D intensity, driving higher ROI across the portfolio Delivering 40%-50% incremental operating leverage H ■ M $9.14 CY18 Diluted EPS¹ $8.73 CY19 $11.47 CY20 $18.50 $22.86 CY21 CY22E² Strong earnings leverage Targeting long-term earnings growth of 1.5x revenue Consistent share repurchases ■ 27% Diluted EPS¹ CAGR from CY '18-'21 ■ I 30% CY18 Consistent growth and improvement in key profitability metrics FCF Margin¹ 23% CY19 29% CY20 31% CY21 CY22E² History of strong FCF margin across all phases of business cycle and economic conditions Investing in working capital to support growth 30% Capital expenditures of 2-4% of revenue KLAH Investor Day 111#112History of Best-in-Class Margins Versus Leading Peers 80% 70% 60% 50% 40% 30% 20% 10% 0% CY11 CY12 CY13 CY14 Gross Margin¹ CY15 CY16 CY17 CY18 CY19 CY20 CY21 KLAC 2 Semi Cap Peers 45% 40% 35% 30% 25% 20% 15% 10% 5% 0% CY11 CY12 CY13 Operating Margin¹ CY14 KLAC CY15 CY16 CY17 CY18 Semi Cap Peers CY19 CY20 CY21 Market leadership, product differentiation, and operational excellence drive industry leading margins Source: Bloomberg, June 2022 | ¹Non-GAAP measure, please see Appendix for definition and GAAP to non-GAAP reconciliation. ²Semi Cap Peers include: AMAT, ASML, LRCX KLAH Investor Day 112#113A Pattern of Excellence - 10 Year View Versus the SOX Index Gross Margin¹: 61% Operating Margin ¹: 34% 97th Percentile Ranked #2 of 30 Non-GAAP Gross Margin % Captures a company's differentiation and competitive advantage 1 Non-GAAP Source: Bloomberg, June 2022 90th Percentile Ranked #4 of 30 FCF Margin ¹: 27% 86th Percentile Ranked #5 of 30 Non-GAAP Operating Margin % Captures a company's operating excellence and discipline #1 ranked semi-cap and top 5 overall in SOX for most important profitability metrics Free Cash Flow Margin % Captures a company's profitability and ability to return capital KLA# Investor Day 113#114Technical Investments are Essential to Our Portfolio Strategy W $ 1800 1600 1400 1200 1000 800 600 400 200 0 R&D CY19 R&D and Applications¹ Support Investment Applications CY20 ¹ Customer-facing technical and engineering resources CY21 R&D + Applications % of Revenue CY22E R&D + Applications % of OpEx 80% 70% 60% 50% 40% 30% 20% 10% 0% ВВР LS/Macro Unpatterned e-Beam Reticle Overlay CD/Shape Monitor Packaging PCB FPD EPC Semi PC CY19 New Products Timeline Inspection: 11 Patterning: 9 EPC: 10 Software: 9 Investing in R&D and technical resources to drive our differentiated portfolio strategy CY22 KLAH Investor Day 114#115The KLA Operating Model Guides Our Strategy and Differentiation Our Values Perseverance Po Our Differentiators Indispensable for Customers ollaboration KLA+ Honest, Forthright, Consistent Execution Innovation 000 TPPP Drive to Be Better formance Tee Collaboration Work closely with customers in early process technology development ▪ Work closely with suppliers' early technology development Work across KLA to reuse applicable technology ■ ■ Innovation Invest heavily in core technology research I Extensive investment in labs and R&D talent ■ ■ Execution Consistently meet or exceed financial targets Exert efficiency and operating discipline in our investments Deliver a strong track record of outperformance ■ Blend experienced global talent with new talent to generate new products ■ We power our industry leading results through collaboration, innovation, and execution KLAH Investor Day 115#116Case Study: Strategic Supply Chain Sourcing Revenue Growth Versus Semi Cap Peers Indexed to CY21-Q1 % W $ CY21-Q1 CY21-Q2 60 CY21-Q3 50 KLAC Source: FactSet, Consensus as of 6/8/22 | ¹Semi Cap Peers include: AMAT, ASML, LRCX Source: KLA Analysis, June 2022 CY21-Q4 40 Semi Cap Peers¹ Time Fence Long materials lead-time require early commitments Material Lead Time 30 Lead time in weeks CY22-Q1 20 CY22-Q2E 10 Manufacturing Cycle Time 0 Component Types Low volume, sole sourced highly complex components High volume, mostly sole sourced critical components Tier 2, 3, 4 Component Suppliers Parts Category Optics Sensors Lasers Volume Optics Stages Motion Control Semiconductors Electronic components KLAH Investor Day 116#117Case Study: Supply Chain Management Visibility ■ Inventory ■ Long term forecast commitments drive supplier investment & availability ■ Optimizing for customer lead times vs. KLA intrinsic lead times Will carry suppliers long lead materials Highly custom parts fundamental to product differentiation Ensures availability for long term service Inventory $B 2 0 Purchase Commitments CY20-Q1 CY20-Q2 CY20-Q3 CY20-Q4 CY21-Q1 CY21-Q2 CY21-Q3 CY21-Q4 CY22-Q1 Inventory and Inventory Days ³ CY20-Q1 CY20-Q2 CY20-Q3 Inventory (net) il Inventory Days 4,000 ¹ Top performing trusted partner that helps differentiate KLA's products and is aligned with KLA's roadmap - KLA is actively growing investments and engagement of time and resources with key suppliers | 2 AMAT, LRCX 3 Inventory Days = (Net Inventory / Annualized COGS) *365 3,000 2,000 1,000 CY20-Q4 CY21-Q1 CY21-Q2 CY21-Q3 CY21-Q4 CY22-Q1 SemiCap Peers Inventory Days 0 ŚM 250 200 150 100 50 0 Inventory Days Long-term, strategic partnership with the supply chain ■ ■ Engineering and supply chain closely integrated throughout product lifecycle across product portfolio ■ Decades-long relationships with 96%+ of key suppliers under contract¹ ■ Long-term, multi-million dollar commitments Executive-level engagement $150M+ in new investment in supplier capacity over last ~2 years Navigating supply chain challenges through strategic supplier management, selection and partnership KLAH Investor Day 117#118Case Study: Measured Pace of Moore's Law Enables Platform Extendibility and Lower R&D Intensity 25% 20% 15% 10% 8x 6x 4x 2x X CY10 CY10 CY11 CY11 CY12 CY12 CY13 CY13 CY14 CY14 CY15 R&D % of Semi PC Revenue CY15 CY16 ROI CY16 CY17 CY17 CY18 CY18 CY19 CY19 CY20 CY20 CY21 CY21 ~0.8x vs CY11-CY16 ~1.4x vs CY11-CY16 ~20% decrease in R&D intensity contributes to ~40% increase in Return on Investment KLAH Investor Day 118#119Case Study: Gen4 Extendibility Enables Gross Margin Improvements and Platform Scalability GM % Improvement V CY08 Gen4 Product Introductions ¹Source: Gartner, April 2022 KLA CY09 m 295 CY10 291x CELES CY11 +180bps CY12 Patterned Optical Inspection Market¹ 2019 TAM: $1.35B 2021 TAM: $2.88B CAGR: 46% CY13 292x CY14 R&D CY15 +620bps CY16 Revenue 293x CY17 CY18 Greater than 15-to-1 Return on Investment +630bps CY19 295x CY20 CY21 +980bps CY22E 296x KLAH Investor Day 119#120Case Study: SpectraShape™M Extendibility Enables Gross Margin Improvements and Platform Scalability GM % Improvement CY11 KLA# ¹Source: Gartner, April 2022 CY12 SpectraShape Product Introductions SpectraShape™ 11k CY13 SS9K CY14 +540bps Optical Metrology Market¹ 2019 TAM: $0.8B 2021 TAM: $1.5B CAGR: 37% CY15 | R&D SS10K CY16 CY17 CY18 +690bps Revenue CY19 SS11k Greater than 10-to-1 Return on Investment CY20 CY21 +750bps CY22E SS12k KLAH Investor Day 120#121Case Study: Semi PC Service Incremental Margin $M CY16 Semi PC Service Revenue and Incremental Gross Margin CY17 || CY18 Semi PC Service Revenue CY19 Til Incremental Service GM% CY20 ~15% CAGR CY21 Linear (Incremental Service GM% ) CY22E Service optimization and customer consolidation enable operating leverage 80% 60% 40% 20% 0% • KLAH Investor Day 121#122FCF Generation Fuels Consistent Capital Returns to Shareholders Investment in Growth and New Products Market Leadership and Differentiated Solutions Value Creation Capital Returns to Shareholders Strong Margins and FCF $B 1Free Cash Flow (FCF) = Cash Flow from Operating Activities minus Capital Expenditures 2FCF Margin defined as FCF/Revenue 1.3 30% CY18 Free Cash Flow¹ and FCF Margin² 1.2 23% CY19 1.8 29% CY20 FCF $B 2.5 31% CY21 FCF Margin % 2.7 31% LTM Raising long-term commitment to > 85% FCF returned to shareholders through dividends and share repurchases KLAH Investor Day 122#123Investment Grade Balance Sheet Supports Capital Structure Balance Sheet Summary ¹ ($B) $2.6 $1.0 $3.8 $12.0 $3.7 $4.1 Total Cash² Revolver¹ Working Capital Total Assets Debt³ Total Shareholders' Equity Leverage Ratio4 Debt Ratings Moody's S&P Fitch 1.0x A2 BBB+ A- Target $2.5B - $3B $1.5B 1.5 - 2.0x Today's Capital Structure Announcements Share Repurchases ▪ $6B Share Repurchase Authorization $3B Accelerated Share Repurchase (ASR) over next 3 - 6 months* ▪ $3B Share Repurchase to be completed over following 12-18 months* *subject to market conditions ■ Dividend ▪ 24% increase in quarterly dividend level to $1.30 from $1.05 New Debt Net New Debt plan of ~$3B with floating / prepayable flexibility ■ Gross leverage ratio in-line with public target range Optimizing capital structure to augment shareholder returns 1 As of 3/31/22, Revolver was increased to $1.5B on 6/8/22 | 2 Total Cash includes Cash, Cash Equivalents and Marketable Securities | ³ Includes $275M in revolving credit facility drawings less $25M in un-amortized debt issuance discounts and costs | 4 Leverage Ratio = Total debt/Adjusted Consolidated EBITDA (trailing four quarters) KLAH Investor Day 123#124W$ Returns to Shareholders Across Share Repurchases and Dividends ~$178 Avg price per share since 2017 107 672 CY17 Share Repurchases¹ CY18 1,062 681 CY19 CY20 1,403 ¹Settlement Date basis | 2 Subject to market conditions 3 Excludes $16.50 per share special dividend in CY15 June 2022: New $6B repurchase authorization CY21 1,694 ~3,000 III 2 LTM ~3,000 ASR $/per share Track Record of Dividend Increases ~15% Dividend CAGR 2006 - 2022 0.48 0.54 June 2022: Announced 13th consecutive annual dividend increase to $1.30/share quarterly from $1.05 (24% increase) 0.60 0.60 1.70 1.90 2.10 2.04 2.26 Normal² Course Share Repurchases Hybrid approach with long-term dividend increases tied to growth in FCF and consistent share repurchases KLAH Investor Day 124 2.84 3.10 3.50 3.90 4.70 1.50 1.20 0.80 ÏÏ il CY06 CY07 CY08 CY09 CY10 CY11 CY12 CY13 CY14 CY15³ CY16 CY17 CY18 CY19 CY20 CY21 CY22 NTM 5.20#125Consistent and Disciplined Capital Allocation Priorities 2019-2021 12% 7% 22% $14B 4% 22% 19% 14% Capex (PP&E) Acquisitions¹ SG&A R&D ¹ Acquisitions includes $1.3B equity issued for Orbotech share exchange 2 Working Capital = Current Assets - Current Liabilities Change in Working Capital² 41% 2022E-2026E 12% ~$38-$40B Dividends 7% Share Repurchases 4% 4% 19% 13% Primary Capital Priorities Fund significant R&D investments for innovation ■ ■ ■ I Invest in working capital to support customers and enable business model Secondary Capital Priorities Capital returns (with long term goal to return >85% of FCF to shareholders) Annual dividend raises Share repurchases De-levering (when necessary) ■ Enable inorganic strategic growth opportunities Capex to support scaling and growth - Strategic capital allocation to support growth initiatives KLAH Investor Day 125#1262026E Target Model: Semiconductors Now Growing Faster Than GDP Semiconductor Revenues as % of GDP 0.8% 0.7% 0.6% 0.5% 0.4% 0.3% 0.2% 0.1% 0.0% Industry driven by PCs and Mobile Semi Revenues CY04 - CY16 CY16 - CY22E 4.7% 12.1% CAGR II CY04 CY05 CY06 CY07 CY08 CY09 CY10 CY11 CY12 CY13 CY14 CY15 Sources: KLA Analysis, World Bank June 2022, Gartner April 2022 CY16 Digitization driving growth 30 CY17 CY18 CY19 CY20 CY21 CY22E Accelerated digitization and strong demand drivers What Has Changed? Scaling resumed ▪ Increased performance & cost benefits ■ ▪ Broader end-markets ▪ New products drove increased demand Pandemic induced digitization & automation ▪ Disciplined pricing KLAH Investor Day 126#1272026E Target Model: Scaling Resumed at 7nm EUV and Drove Significant Investments Design Starts Have Become More Affordable >50% increase in design starts and WSPM¹ at 7nm 1 L 28nm 20nm 16nm Design Starts 10nm WSPM WSPM¹ Sources: KLA Analysis, VLSI Tech Insights May 2022, Gartner April 2022, SEMI May 2022 1 WSPM = wafer starts per month 7nm Resumption of Scaling Drove Increased Investments Logic/Foundry WFE 70 60 50 40 30 20 10 0 CAGR: -3.8% |||| CY12 CY13 CY14 CY15 CY16 CAGR: 39.5% CY17 CY18 CY19 30% - 40% of total WFE ||| CY20 CY21 CY22E 50% - 60% of total WFE KLAH Investor Day 127#1282026E Target Model: Capex Intensity Rising as Market Opportunities, Technology Complexity and Competition Increase Capital Expenditures $B 180 160 140 120 100 80 60 40 20 0 CYOO CY01 CY02 CY03 CY04 CY05 CY06 CY07 CY08 CY09 CY10 CY11 CY12 CY13 CY14 CY15 CY16 CY17 CY18 CY19 CY20 CY21 ¹ Capex Intensity = semiconductor capex / semiconductor revenue Sources: KLA Analysis, Gartner April 2022, FactSet May 2022 Capex Capex Intensity 40% 35% 30% 25% 20% 15% 10% 5% 0% Capex Intensity Drivers of Capex Intensity Decline (from 2000-2013) ■ 200 mm to 300 mm wafer transition Industry consolidation Transition from Integrated Device Manufacturer (IDM) to hyper-scale foundries ■ Lack of timely scaling ▪ ■ KLAH Investor Day 128#129Making the Case for Increased Foundry/Logic WFE Intensity WFE/EBITDA Ratio Provides Perspective into Spend¹ ŞM $M 40% 30% 20% 10% 0% 40,000 30,000 20,000 10,000 0 CY02 CY03 CY04 CY05 CY06 CY07 CY08 CY09 CY10 CY11 CY12 CY13 CY14 CY15 CY16 CY17 CY18 CY19 CY20 CY21 Financial Performance Remains Strong¹ ..…………….…………….…………… CY02 CY03 CY04 CY05 Revenue CAGR |||| CY06 CY07 CY08 CY09 CY10 CY11 CY12 CY13 CY14 CY15 CY16 CY17 CY18 CY19 CY20 CY21 ~65% /~35% split Nodes CY12 - CY21 CY17- CY21 Legacy Node Revenues Help Pay for Investments² Leading 14.1% 11.4% WFE - ex Memory CY02 CY03 CY04 CY05 CY06 CY07 CY08 Legacy 16.8% 20.1% CY09 CY10 CY11 OpM - ex Memory Leading Edge CY12 CY13 CY14 CY15 Legacy Nodes Sources: KLA Analysis, Gartner April 2022, Company Reports 2002-2022, FactSet June 2022 117 advanced and legacy node foundry and logic manufacturers | 2Legacy nodes definition: 6th year and greater of generated revenue CY16 CY17 CY18 CY19 CY20 CY21 40% 30% 20% 10% 0% ~50%/50% split Highlights Record revenues and profits Strong long-term industry growth drivers ■ Utilization rates rising to near 100% ▪ Increased competition ▪ Evolving supply chains KLAH Investor Day 129#130Long-Term Target Revenue Growth Model Update 2023E Model (presented 9/17/19) ~2% ~7-9% ~1% -2% ~4% - 5% Growth Drivers Industry Growth ■ Diversification of end markets Modestly rising capital intensity New markets and applications Intensity Improvement / Share Gain New Semi PC product pipeline ~55-60% Foundry/Logic mix in WFE Higher Process Control intensity EPC systems growth from specialty semi/packaging Services Growth ■ Growth in installed base ■ ■ ■ ■ ■ ■ ■ High fab utilization Service opportunities in acquired businesses Through-Cycle Revenue Growth Targets Industry Growth Intensity Improvement / Share Gain Services Growth 2026E Model (from 2021) ~1% ~1% -2% ~7% -8% ~9-11% KLAH Investor Day 130#131KLA 2026 Target Model | Driving Sustainable Outperformance $8.2B CY21 ~$3.6B Semi PC Systems ~$0.7B EPC Systems ~$1.5B Services ~$0.25B M&A ~$14B CY26E Double-digit revenue growth across Semi PC, EPC, and Services drive a ~9-11% CAGR from 2021 to 2026E KLAH Investor Day 131#132Introducing 2026E Target Model Prior 2023E Target Model Revenue Gross Margin%¹ R&D%¹ SG&A%¹ Operating Margin%¹ Diluted EPS¹ Capital Allocation ¹Non-GAAP measure $7.0B - $7.5B 61%+ ~14% ~11% 36%+ $14.50 - $15.50 New 2026E Target Model $14B +/- $500M Target > 70% of FCF ~63% ~13% ~8% 41% - 43% $38.00 +/- $1.50 Ongoing Financial Target >85% of FCF Framework ~9% -11% CAGR 40% -50% (Incremental) ~1.5x Revenue Growth Rate Macro Assumptions ■ CY21-CY26E semiconductor industry CAGR of ~6-7% WFE grows faster than Semi revs ■ ■ ■ Process Control market grows > WFE Business Assumptions Intensity/market share gain at leading edge ■ ■ ~55-60% foundry/logic, ~40-45% memory mix Hybrid Approach: Dividend + Repurchases Focused on driving consistent, profitable growth and delivering shareholder value ■ Increasing exposure to legacy markets New market penetration KLAH Investor Day 132#133Key Takeaways 315 1 Delivering sustainable market outperformance guided by the KLA Operating Model which supports innovation, collaboration, and execution 9 0 2 Portfolio strategy drives differentiation and competitive advantage, leading to strong operating leverage, resilient free cash flow generation and consistent capital returns 8 OB 2 3 Collaborative supply chain strategy helps drive execution, meet customer commitments and deliver on financial targets ? 9 3 4 Pace of Moore's Law and trends of end market demand enable KLA to continue to innovate to drive product longevity and optimize the financial model 4 F 6 7.8 8 LO 19 5 Leveraging our strong investment-grade balance sheet to support growth, enhance scalability of the business, and fuel assertive capital allocation initiatives 0 KLAH Investor Day 133#134KLA# Investor Day Closing Remarks Marin CHA I vin schim DHEDHIN whe sillon BER ANTONIO A CHRONIC S Hace ale i Bybel RACAL N DEPOIS DE TUBO Rick Wallace President and CEO 4838 KL |+#135Closing Remarks Indispensable for Customers Our Values Perseverance Our Collaboration Differentiators KLA+ Honest, Forthright, Consistent Execution Innovation Drive to Be Better 000 MPPP rformance Te e 1 2 3 4 5 The semiconductor industry has become essential to large number of industries and geographies and is expected to grow and change in ways that benefit KLA Our portfolio of innovative solutions positions the company for sustained outperformance as Process Control becomes more broadly adopted and critical We have history of enabling innovations and we continue to invest in leading- edge technology and new service products to further strengthen our market leadership We demonstrated the strength of our Operating Model to successfully integrate new companies and drive collaboration, innovation, and execution across the entire electronic ecosystem Our resilient business model powered by our portfolio strategy and diversified revenue streams, strong free cash flow generation, and assertive capital allocation continues to deliver best-in-class long-term total shareholder return KLA# Investor Day 135#136KLA# Investor Day Thank You vilin altma Y NATUURS matinin um s MERVILLE CORDIA HK UND B neunon nin MASALALLET 9828 1588 Sum#137KLA+ Appendix Reconciliation of Non-GAAP Financial Measures KLAT#138Reconciliation of Non-GAAP Financial Measures (In thousands, except per share amounts and percentages) GAAP net income attributable to KLA * Adjustments to reconcile GAAP net income to non-GAAP net income* Acquisition-related charges Restructuring, severance and other charges Debt extinguishment loss Goodwill and intangible asset impairment Income tax effect of non-GAAP adjustments Discrete tax items Non-GAAP net income attributable to KLA GAAP diluted EPS + Non-GAAP diluted EPS + Shares used in diluted shares calculation + a b Dec 31, 2021 $ 2,986,335 C d 9 $ $ $ 220,488 (51) (71,218) (290,331) 2,845,223 19.42 18.50 153,796 For the twelve months ended Dec 31, 2020 Dec 31, 2019 $ 1,137,653 $ 1,367,523 $ 214,533 16,885 22,538 256,649 (74,196) (10,047) 1,793,885 $ 1,391,394 8.75 $ 11.47 $ 156,339 340,318 2,786 (97,828) 8,465 $ 7.13 $ 8.73 $ 159,468 Dec 31, 2018 1,420,692 21,218 (1,229) (18,089) 1,422,592 9.13 9.14 155,689 + In multiple-quarter calculations of diluted net income per share, the shares used are an average of the weighted average fully diluted shares outstanding for the relevant quarters. Therefore, the sum of the individual quarterly diluted net income per share amounts for the quarters may not equal the calendar year or multiple-quarter diluted net income per share presented. Refer to "Reconciliation of Non-GAAP Financial Measures - Explanation of Non-GAAP Financial Measures" for detailed descriptions and information for each reconciling item KLAH Investor Day 138#139Reconciliation of Non-GAAP Financial Measures * (Dollars in thousands) GAAP gross profit Adjustments to reconcile GAAP gross profit to non-GAAP gross profit* Acquisition-related charges Restructuring, severance and other charges Merger-related charges Non-GAAP gross profit GAAP gross margin Non-GAAP gross margin Restructuring, severance and other charges Debt extinguishment loss and recapitalization charges Goodwill and intangible asset impairment Merger-related charges Restatement-related charges Non-GAAP Operating income (1) b GAAP operating margin Non-GAAP operating margin e GAAP operating income (1) Adjustments to reconcile GAAP operating income to non-GAAP operating income* Acquisition-related charges a b C d e Dec 31, 2021 Dec 31, 2020 $ 4,962,056 $ 3,571,050 f 163,038 230 $ 5,125,324 60.8% 62.8% 220,488 4,281 154,074 2,418 $ 3,176,685 $ 1,725,675 38.9% 41.7% 58.8% 61.4% $3,727,542 $ 3,175,908 214,533 15,869 256,649 $ 3,401,454 $ 2,212,726 Dec 31, 2019 Dec 31, 2018 $ 2,961,024 $ 2,768,223 28.4% 36.4% 214,053 831 56.1% 60.2% 340,318 2,786 3,708 $ 2,771,931 $ 1,385,274 $ 1,686,488 26.2% 32.7% 64.3% 64.4% 21,218 For the twelve months ended Dec 31, 2016 $ 2,050,652 39.2% 39.7% Dec 31, 2017 $ 2,405,872 2,471 121 1,192 776 $ 2,410,916 $ 2,054,436 4,268 63.3% 63.5% $ 1,420,995 4,737 $ 1,728,378 $ 1,707,706 $ 1,435,040 9,308 37.4% 37.8% 62.9% 63.0% $ 1,168,340 4,383 137 17,051 Dec 31, 2015 $ 1,652,907 35.8% 36.5% 7,737 10,909 67 $ 1,671,620 $ 58.0% 58.7% 772,728 12,396 34,861 8,820 $ 1,189,911 $ 828,805 27.1% 29.1% Dec 31, 2014 $ 1,644,029 $ 9,698 600 $ 57.0% 57.3% 698,044 15,874 9,815 2,478 $ 1,654,327 $ 1,643,211 $ 1,804,403 $ 1,890,831 726,211 Dec 31, 2013 $ 1,632,744 24.2% 25.2% 7,684 2,783 $ 58.1% 58.4% 16,117 7,502 Dec 31, 2012 $ 1,788,754 $ 751,393 727,774 $ 942,377 25.9% 26.7% 15,649 $ 57.2% 57.7% 25,066 3,134 Dec 31, 2011 $ 1,868,376 20,830 1,625 30.1% 31.0% 59.0% 59.7% $ 1,069,600 30,382 5,947 6,769 970,577 $ 1,112,698 33.8% 35.2% Refer to "Reconciliation of Non-GAAP Financial Measures - Explanation of Non-GAAP Financial Measures" for detailed descriptions and information for each reconciling item (1) Non-GAAP operating income and operating expenses include the effects of the changes in the Company's Executive Deferred Savings Plan Program ("EDSP) and the changes in the EDSP liability and asset are recorded in selling, general and administrative expense in operating expenses. The expenses (benefits) associated with changes in the liability included in selling, general and administrative expense for the calendar years ended December 31, 2021, 2020, 2019, 2018, 2017, 2016, 2015, 2014, 2013, 2012 and 2011 were $32.2 million, $34.7 million, $39.8 million, $(6.3) million, $27.8 million, $9.5 million, $2.8 million, $12.3 million, $23.8 million, $13.6 million and $(1.8) million, respectively. The gains (losses) associated with changes in the EDSP assets included in selling, general and administrative expense for the calendar years ended December 31, 2021, 2020, 2019, 2018, 2017, 2016, 2015, 2014, 2013, 2012 and 2011 were $32.0 million, $35.2 million, $40.8 million, $(6.5) million, $27.9 million, $9.9 million, $2.9 million, $12.8 million, $23.6 million, $14.1 million and $(0.4) million, respectively. KLAH Investor Day 139#140Reconciliation of Free Cash Flow and Related Metrics Free Cash Flow Measures (Dollars in thousands) Net cash provided by operating activities Less Capital expenditures Free cash flow Free cash flow Revenue Free cash flow margin Mar 31, 2022 Dec 31, 2021 $ 2,959,095 $ 2,786,367 (289,535) (250,414) $ 2,669,560 $ 2,535,953 $ 2,669,560 $ 8,650,615 30.9% $ 2,535,953 $ 8,165,712 31.1% Dec 31, 2020 $ 1,968,126 (200,304) $ 1,767,822 $ 1,767,822 $ 6,073,047 29.1% Dec 31, 2019 $ 1,373,031 (149,242) $ 1,223,789 $ 1,223,789 $ 5,278,613 23.2% For the twelve months ended Dec 31, 2018 Dec 31, 2017 $ 1,389,697 $ 1,190,475 (86,518) (49,207) $ 1,303,179 $ 1,141,268 $ 1,303,179 $ 4,304,456 30.3% $ 1,141,268 $ 3,797,859 30.1% Dec 31, 2016 Dec 31, 2015 Dec 31, 2014 Dec 31, 2013 Dec 31, 2012 $ 857,780 854,002 $ 532,377 S 882,871 $ (34,974) (34,842) (57,514) (73,426) $ 822,806 $ 819,160 474,863 809,445 S 822,806 $ 3,259,162 25.2% $ 819,160 $ 2,847,680 28.8% $ $ $ 859,396 (67,913) 791,483 S 474,863 S 809,445 S 791,483 $ 2,885,200 $ 2,812,527 $ 3,126,706 16.5% 28.8% 25.3% The Company presents free cash flow and certain related metrics as supplemental non-GAAP measures of its performance. Free cash flow is determined by adjusting GAAP net cash provided by operating activities for capital expenditures. Free cash flow margin is defined as free cash flow divided by revenue. KLA# Investor Day 140#141Reconciliation of Guidance Q4 FY2022 Guidance Range: (In millions, except per share amounts and percentages) GAAP diluted net income per share Acquisition-related charges a Income tax effect of non-GAAP adjustments 9 $ Non-GAAP diluted net income per share Shares used in diluted shares calculation GAAP gross margin Acquisition-related charges Non-GAAP gross margin GAAP operating expenses Acquisition-related charges Low $ 4.60 0.46 (0.13) 4.93 149.6 Non-GAAP operating expenses 69 a Restructuring, severance and other charges b $ 59.5% 2.0% 61.5% High $ 5.70 0.46 (0.13) 6.03 149.6 $ 61.7% 1.8% 63.5% 543 $ 555 (23) (23) (1) (1) 519 $ 531 Note Regarding Reconciliations of Long-term Forecasts: This presentation includes certain forward-looking non-GAAP financial measures, including gross margin, R&D as a percent of sales, SG&A as a percent of sales, operating margin and diluted EPS, in forecasts for calendar year 2023 and calendar year 2026. The reconciliations for these non-GAAP measures to the most directly comparable GAAP measures are not presented because of the inherent difficulty in predicting, with a reasonable degree of certainty, the occurrence, financial impact and timing of items that would be expected to impact GAAP results but would not impact non-GAAP adjusted results, such as acquisition costs, restructuring costs and discrete taxable events, without unreasonable efforts. These reconciling items could significantly impact, either individually or in the aggregate, the corresponding GAAP measures. Note: The guidance as of June 16, 2022 represents our best estimate considering the information known as of the date of issuing the guidance. We undertake no responsibility to update the above in light of new information or future events. Refer to forward looking statements for important information. Also refer to "Reconciliation of Non-GAAP Financial Measures -Explanation of Non-GAAP Financial Measures" for detailed descriptions and information about each reconciling item. KLAH Investor Day 141#142Reconciliation of Non-GAAP Financial Measures Explanation of Non-GAAP Financial Measures: To supplement our Condensed Consolidated Financial Statements presented in accordance with GAAP, we provide certain non-GAAP financial information, which is adjusted from results based on GAAP to exclude certain costs and expenses, as well as other supplemental information. The non- GAAP and supplemental information is provided to enhance the user's overall understanding of our operating performance and our prospects in the future. Specifically, we believe that the non-GAAP information, including non-GAAP net income attributable to KLA, non-GAAP net income per diluted share attributable to KLA, non-GAAP R&D expenses, non-GAAP gross margin, non-GAAP operating margin, non-GAAP operating expenses, Free Cash Flow, FCF Conversion and FCF Margin, provides useful measures to both management and investors regarding financial and business trends relating to our financial performance by excluding certain costs and expenses that we believe are not indicative of our core operating results to help investors compare our operating performances with our results in prior periods as well as with the performance of other companies. The non-GAAP information is among the budgeting and planning tools that management uses for future forecasting. However, because there are no standardized or generally accepted definitions for most non-GAAP financial metrics, definitions of non-GAAP financial metrics are inherently subject to significant discretion (for example, determining which costs and expenses to exclude when calculating such a metric). As a result, non-GAAP financial metrics may be defined very differently from company to company, or even from period to period within the same company, which can potentially limit the usefulness of such information to an investor. The presentation of non-GAAP and supplemental information is not meant to be considered in isolation or as a substitute for results prepared and presented in accordance with United States GAAP. The following are descriptions of the adjustments made to reconcile GAAP net income attributable to KLA to non-GAAP net income attributable to KLA: a) Acquisition-related charges primarily include amortization of intangible assets and other acquisition- related adjustments including adjustments for the fair valuation of inventory and backlog, and transaction costs associated with our acquisitions. b) Restructuring, severance and other charges primarily include costs associated with employee severance, acceleration of certain stock-based compensation arrangements, charges related to liquidation of legal entities and other exit costs. c) Debt extinguishment loss in the twelve months ended December 31, 2020 includes a pre-tax loss on early extinguishment of the $500 million 4.125% Senior Notes due in November 2021. Debt extinguishment loss and recapitalization charges in the twelve months ended December 31, 2014 includes a pre-tax loss on early extinguishment of debt related to the 6.900% Senior Notes due in 2018, net and certain other expenses incurred in connection with the leveraged recapitalization plan which was completed in the quarter ended December 31, 2014. d) Goodwill impairment includes non-cash expense recognized as a result of the company's annual testing for goodwill impairment performed in the third quarter of the fiscal year. The impairment charge resulted from the downward revision of financial outlook for the acquired Orbotech business as well as the impact of elevated risk and macroeconomic slowdown driven by the COVID-19 pandemic. e) Merger-related charges associated with the terminated merger agreement between KLA-Tencor and Lam Research Corporation ("Lam") primarily include employee retention-related expenses, legal expenses and other costs. f) Restatement related charges include legal and other expenses related to the investigation regarding the company's historical stock option granting process and related stockholder litigation and other matters. KLA has paid or reimbursed legal expenses incurred by a number of its current and former directors, officers and employees in connection with the investigation of the company's historical stock option practices and the related litigation and government inquiries. g) Income tax effect of non-GAAP adjustments includes the income tax effects of the excluded items noted above. h) Discrete tax items consist of consist of certain income tax expenses/benefits that, by excluding, help investors compare our operating performance with our results in prior periods as well as with the performance of other companies. KLA# Investor Day 142

Download to PowerPoint

Download presentation as an editable powerpoint.

Related

1st Quarter 2021 Earnings Presentation image

1st Quarter 2021 Earnings Presentation

Technology

Rackspace Technology Q4 2022 Earnings Presentation image

Rackspace Technology Q4 2022 Earnings Presentation

Technology

CBAK Energy Technology Investor Presentation image

CBAK Energy Technology Investor Presentation

Technology

Jianpu Technology Inc 23Q1 Presentation image

Jianpu Technology Inc 23Q1 Presentation

Technology

High Performance Computing Capabilities image

High Performance Computing Capabilities

Technology

SOLOMON Deep Learning Case Studies image

SOLOMON Deep Learning Case Studies

Technology

1Q20 Earnings image

1Q20 Earnings

Technology

Nutanix Corporate Overview image

Nutanix Corporate Overview

Technology